Альтиум дизайнер руководство пользователя

Содержание

  • Проект
  • Создание нового проекта платы
  • Добавление схемы в проект
  • Настройка опций документа
  • Доступ к компонентам
    • Поиск новых компонентов
    • Изучение результатов поиска
      • Основные сведения о плитках поставщиков
  • Работа с собственными компонентами
  • Размещение компонентов из панели Manufacturer Part Search на схеме
    • Советы по размещению
      • Работа с панелью Properties в процессе размещения
  • Размещение компонентов мультивибратора
    • Редактирование в панели Properties
    • Советы по размещению компонентов
  • Подключение схемы
    • Панель инструментов Active Bar
    • Советы по размещению связей
    • Цепи и метки цепей
    • Метки цепей, порты и порты питания
  • Настройка проекта
    • Динамическая компиляция
    • Проверка электрических свойств схемы
      • Настройка отчетов об ошибках
      • Настройка матрицы соединений
      • Настройка формирования классов
      • Настройка компаратора
  • Компиляция проекта для проверки на ошибки
  • Создание новой платы
  • Настройка формы и расположения платы
    • Настройки свойств по умолчанию
  • Передача проектных данных
  • Настройка отображения слоев
    • Отображение слоев – View Configuration
    • Советы по слоям
  • Физические слои и Layer Stack Manager
  • Настройка сетки
    • Дюймовая или метрическая сетка?
      • Подходящие настройки сетки
    • Поддержка множества сеток
    • Настройка сетки привязки
  • Настройка правил проектирования
    • Определение ограничений для ширины трассировки
    • Определение ограничений для электрических зазоров
    • Определение ограничений для переходных отверстий
    • Существующие нарушения правил проектирования
    • Просмотр правил проектирования
  • Размещение компонентов на плате
    • Настройки размещения компонентов
    • Размещение компонентов
  • Интерактивная трассировка платы
    • Подготовка к интерактивной трассировке
    • Пора трассировать
    • Режимы интерактивной трассировки
  • Трассировка – советы и трюки
    • Сочетание клавиш для трассировки
    • Обратная связь в процессе интерактивной трассировки
  • Изменение и повторная трассировка существующих трасс
      • Повторная трассировка существующих трасс
      • Перемещение существующих трасс
      • Советы по интерактивному перетаскиванию
  • ActiveRoute – Автоматизированная интерактивная трассировка
    • Работа с ActiveRoute
  • Проверка конструкции платы
    • Настройка отображения нарушений правил
    • Настройка проверки правил
      • Настройки отчета о проверке
      • Проверяемые правила
    • Запуск проверки правил проектирования (DRC)
    • Поиск ошибок
    • Понимание ошибки
      • Подменю Violations
      • Панель PCB Rules And Violations
    • Исправление нарушений
      • Ошибки паяльной маски
      • Нарушения зазора
      • Нарушение правила Silk to Silk Clearance
  • Просмотр платы в 3D
    • Советы по работе в 3D
  • Выходная документация
    • Доступные типы выходных документов
      • Документы для изготовления печатного узла
      • Выходные документы
      • Выходные документы для изготовления печатной платы
      • Выходные документы с данными о списке соединений
      • Выходные отчеты
    • Формирование отдельных выходных документов и файлы Output Job
    • Настройка файлов Gerber
    • Настройка состава изделия
    • Формирование BOM
    • Соответствие данных проекта и сформированного отчета BOM

Полное содержание

Добро пожаловать в разработку электронных изделий в программных решениях мирового уровня от Altium. Этот урок поможет вам сделать первые шаги, проведя вас через весь процесс проектирования простой печатной платы – от идеи до получения выходных файлов. Если вы только знакомитесь с программными решениями Altium, прочитайте страницу Изучение Altium Designer, чтобы узнать больше об интерфейсе, использовании панелей и управлении проектными документами.

Чтобы получить подробную информацию о команде, диалоговом окне, объекте или панели, нажмите F1, когда курсор наведен на нужный элемент.


Проект

Проект, для которого вы будете создавать схему и конструировать печатную плату, является простым автоколебательным мультивибратором. Схема показана ниже – здесь используются два NPN-транзистора общего назначения.

Схема мультивибратора
Схема мультивибратора

Вы готовы начать ввод (создание) схемы. Первым этапом является создание проекта платы.


Создание нового проекта платы

В программном обеспечении Altium проект платы является набором документов (файлов), необходимых для определения и изготовления печатной платы. Файл проекта, например, Multivibrator.PrjPCB, является ASCII-файлом, который содержит список всех документов в проекте, а также настойки на уровне проекта, такие как проверки электрических правил, параметры проекта, выходные документы проекта, например, настройки печати и файлов CAM.

Для создания нового проекта выберите команду File » New » Project – будет открыто диалоговое окно Create Project.

  1. Выберите команду File » New » Project главного меню.
  2. Будет открыто диалоговое окно Create Project:
    • Выберите Local Projects в списке Locations.
    • Убедитесь, что в качестве типа проекта Project Type выбран PCB <Default>.
    • Введите подходящее название проекта в поле Project Name.
    • Выберите подходящую папку Folder, в которой будет находиться проект. В этом расположении будет автоматически создана папка с тем же названием, что и у проекта, и в нее будет сохранен файл проекта.
  3. Нажмите Create, чтобы закрыть диалоговое окно и создать проект.
  4. Проект появится в панели Projects. Если панель не отображается, нажмите кнопку в нижней правой части окна приложения и выберите Projects из появившегося меню.
  5. Выберите File » Save Project из меню, чтобы открыть диалоговое окно Windows Save As. Используйте это диалоговое окно для перехода в подходящее расположение и введите название Multivibrator в поле File Name. Расширение файла вводить не нужно, оно будет добавлено автоматически.

Добавление схемы в проект

Следующим этапом является добавление нового документа схемы в проект.

Добавьте документ схемы в проект, задайте ему название, сохраните схему и проект

  1. Щелкните ПКМ по названию файла проекта в панели Projects, затем выберите команду Add New to Project » Schematic, как показано выше. В рабочей области будет открыт пустой документ схемы под названием Sheet1.SchDoc, а в панели Projects в папке Source Documents появится иконка документа схемы, связанной с проектом.
  2. Чтобы сохранить новый лист схемы, выберите команду File » Save As (или используйте контекстное меню). Будет открыто диалоговое окно Save As, которое предложит сохранить схему в том же месте, где находится файл проекта. В поле File Name введите название Multivibrator и нажмите Save (вводить расширение файла не нужно). Обратите внимание, что файлы, сохраненные в той же папке, что и файл проекта (или в дочерних папках) используют относительные ссылки, в то время как файлы, сохраненные в иных расположениях, используют абсолютные ссылки.
  3. Поскольку в проект была добавлена схема, файл проекта также изменился. Щелкните ПКМ по названию файла проекта в панели Projects и выберите команду Save, чтобы сохранить проект.

При открытии пустого документа схемы вы можете обратить внимание, что интерфейс изменился. В главном меню появятся новые элементы и будет отображена панель инструментов с кнопками – теперь вы находитесь в редакторе схем. Каждый редактор включает в себя собственный набор меню и панелей и поддерживает собственные сочетания клавиш.

Всю группу плавающих панелей можно закрыть с помощью кнопки в верхней части панели. Отдельную панель можно закрыть, щелкнув ПКМ по ее имени. При необходимости панель можно открыть снова с помощью кнопки в нижней правой части приложения. Либо нажмите F4, чтобы скрыть/отобразить все плавающие панели.


Настройка опций документа

Страница панели: Опции документа схемы

Перед тем, как начать ввод схемы, зададим необходимые опции документа, в том числе размер страницы, сетку привязки и видимую сетку.

Настройка опций документа схемы. Задайте размер листа, как необходимо.
Настройка опций документа схемы. Задайте размер листа, как необходимо.

Помимо способа, описанного в сворачиваемой области ниже, свойства документа Document Options можно открыть двойным щелчком ЛКМ по границе листа.

Опции среды, такие как тип курсора, цвет выделения и поведение автоматического панорамирования, задаются в диалоговом окне Preferences (Tools » Preferences).

Свойства большинства объектов, в том числе листа схемы (или документа платы), доступны для настройки в интерактивной панели Properties. Панель автоматически отображает свойства выделенного объекта либо, если нет выделенных объектов, свойства документа схемы (или платы).

  1. Если панель Properties не отображается, нажмите кнопку в нижней правой части приложения и в появившемся меню выберите Properties.
  2. В режиме Document Options (когда нет выделенных объектов) панель разделена на следующие разделы: Selection Filter, General и Page Options. Каждый раздел можно открыть/свернуть с помощью маленького треугольника возле названия раздела.
  3. В этом уроке мы только зададим размер листа A4, что можно сделать в разделе Page Options.
  4. Убедитесь, что обеим сеткам Snap Grid и Visible Grids задано значение 100mil.
  5. Чтобы документ отображался на всю область просмотра, выберите команду View » Fit Document (сочетание клавиш: V, D).
  6. Сохраните схему с помощью команды File » Save (сочетание клавиш: F, S).

Чтобы больше узнать об элементах управления панели Properties, нажмите F1, когда курсор наведен на панель.


Доступ к компонентам

Соответствующая статья: Подробнее о компонентах и библиотеках

Физические компоненты, которые будут установлены на плату, на схеме представлены схемными символами (условно-графическими обозначениями), а на плате – посадочными местами.

Компоненты могут быть:

  • Размещены непосредственно из панели Manufacturer Part Search. Эта панель предоставляет быстрый доступ к мощной системе поиска и агрегирования компонентов с подробными данными о миллионах компонентов от тысяч производителей, с актуальной информацией о цепочке поставок. Многие компоненты готовы к использованию к проектах, поскольку у них есть символ и посадочное место; такие компоненты отображаются с иконкой Has Models icon в панели.
  • Созданы и размещены из локальной библиотеки на основе файлов или из сервера управляемых данных предприятия. Поиск и размещение таких компонентов осуществляется через панель Components. Подробнее об этом подходе см. в разделе Работа с собственными компонентами.

В этом уроке все компоненты будут размещены из панели Manufacturer Part Search.

Поиск новых компонентов

Главная страница: Панель Manufacturer Part Search

Местом поиска новых компонентов является панель Manufacturer Part Search. Чтобы открыть панель Manufacturer Part Search, нажмите кнопку Panels button в нижней правой части приложения и выберите Manufacturer Part Search из появившегося меню (показать изображение). Видимые панели отмечены в этом меню галочкой.

При первом открытии панели Manufacturer Part Search будет отображен список категорий компонентов, как показано ниже.

Панель Manufacturer Part Search перед выполнением поиска.
Панель Manufacturer Part Search перед выполнением поиска.

Эффективный поиск компонентов в Altium Designer через панель Manufacturer Part Search позволяет проводить непосредственный поиск путем ввода запроса в поле Search или расширенный параметрический поиск путем последовательного сужения критерия поиска с помощью выбора категорий Categories или фильтров Filters или использования обеих этих возможностей.

  • Для проведения непосредственного поиска введите поисковый запрос в поле Search в верхней части панели.

    Пример: LED green clear 0603 SMD

    Используйте поле Search для проведения поиска на основе текста. Нажмите x рядом со строкой поиска, чтобы очистить ее. Щелкните левой кнопкой мыши по строке поиска, чтобы повторно загрузить ее в поле Search для изменения.
    Используйте поле Search для проведения поиска на основе текста. Нажмите x рядом со строкой поиска, чтобы очистить ее. Щелкните левой кнопкой мыши по строке поиска, чтобы повторно загрузить ее в поле Search для изменения.

  • Либо проведите параметрический поиск с помощью Categories и Filters путем включения и отключения критериев для изучения потенциально применимых компонентов.

    Пример:

    1. Сначала выберите категорию Category, например LEDs,
    2. затем с помощью Filter отфильтруйте категорию LED по цвету (Color), корпусу (Case/Package), типу монтажа (Mount), наличию моделей (Has Model) и т.д.

    Либо совместно используйте категории, фильтры и поле Search для проведения параметрического поиска.
    Либо совместно используйте категории, фильтры и поле Search для проведения параметрического поиска.

  • Доступ к категориям осуществляется с помощью выпадающего меню, обозначенного пунктом 1 на изображении выше.
  • Нажмите кнопку для включения и отключения списка Filters (пункт 2 на изображении). Содержимое списка Filters зависит от категории компонентов, в которой проводится поиск.
  • Некоторые опции фильтра включают в себя текстовые поля для ввода числовых значений. Нажмите Enter на клавиатуре для применения значения.
  • Если список результатов не обновляется, щелкните ЛКМ в поле Search и нажмите Enter на клавиатуре.
  • Активные критерии поиска определяются выбранной категорией и списком включенных фильтров, которые отображены под строкой поиска. Нажмите по иконке x, чтобы удалить любой из существующих критериев поиска. Обратите внимание, что содержимое поля поиска также применяется к этим результатам, поэтому если оно не было очищено, вы сможете только удалить критерий поиска, который был введен в поле поиска последний раз – очистите поле поиска, чтобы исправить это.
    Manufacturer Parts Search panel, edit search conditions
  • Щелкните ЛКМ по заголовку столбца, чтобы отсортировать результаты по значениям в этом столбце.
  • Щелкните ПКМ по заголовку существующего столбца, чтобы открыть диалоговое окно Select Columns (показать изображение).

Панели и диалоговые окна, которые поддерживают поиск компонентов, могут отображаться в альбомном или портретном режиме. При изменении размера панели/диалогового окна расположение элементов управления изменится, поэтому они могут быть представлены иным образом по сравнению с тем, что показано и описано здесь.

Изучение результатов поиска

В области результатов поиска панели показан список компонентов производителей, которые полностью или частично соответствуют критериям поиска. Щелкните ЛКМ по компоненту, чтобы выделить его и отобразить ссылку на актуальную информацию о цепочке поставок этого компонента.

Manufacturer Parts Search panel, details of the selected part

  • Если производитель предоставил изображение компонента, оно будет показано. Возле изображения показан номер компонента производителя Manufacturer Part Number (MPN), который также ведет на подробную информацию о компоненте на веб-сайте Octopart (обозначен пунктом 1 на изображении выше).
  • Вертикальная цветная полоска обозначает статус жизненного цикла от производителя, например, Серийное производство, Снят с производства и т.д. Наведите курсор на полоску, чтобы получить больше информации. Обратите внимание, что статус жизненного цикла от производителя не отражает доступность, которая показана в плитках отдельных поставщиков (как описано ниже). Например, у производителя могут быть снятые с производства компоненты, но у поставщиков могут быть большие запасы этих компонентов.
    ► Узнайте больше об Интерпретации жизненного цикла от производителей.
  • Иконка Has Model означает, что для этого компонента есть доступные модели. Нажмите кнопку Part information в верхней правой части панели, чтобы отобразить подробную информацию о компоненте, в том числе его модели.
  • Нажмите в любом месте строки, чтобы выделить компонент. Строка будет подсвечена, и появится вторая ссылка, отражающая количество поставщиков, которые могут поставить этот компонент (пункт 2 на изображении выше). Щелкните ЛКМ по ссылке, чтобы отобразить подробную информацию о цепочке поставок и поставщиках, которые поставляют этот компонент, в порядке доступности и цены.
  • Информация о компоненте от поставщика представлена в плитке с цветным заголовком. Эти плитки также называются SPN (Supplier Part Numbers – номер компонента поставщика). Информация об иконках и данных в плитке представлена ниже.
  • Нажмите по кнопке в панели, чтобы настроить: используемую валюту, отображение некорректных SPN (отображать ли только поставщиков с подходящим уровнем запасов и актуальными данными), доступных поставщиков.

Основные сведения о плитках поставщиков

В каждой плитке SPN представлен большой набор информации. Наведите курсор мыши на иконку или значение, чтобы отобразить подсказку с более подробной информацией.

Manufacturer Parts Search panel, Supplier Part Number tile Плитка SPN включает в себя подробную информацию о компоненте и его доступности.

  1. Заголовок плитки отображает название поставщика. Цвет заголовка означает:
    • Зеленый = Лучший выбор
    • Оранжевый = Приемлемый выбор
    • Красный = Рискованный выбор
  2. Номер компонента поставщика (ссылка на этот компонент на веб-сайте Octopart).
  3. Код страны поставщика (согласно ISO alpha 2).
  4. Источник информации о компоненте (обычно Altium Parts Provider). Цвет означает:
    • Светло-серый = по умолчанию; обновлено менее одной недели назад
    • Оранжевый = 1 неделя < последнее обновление < 1 месяц назад
    • Красный = последнее обновление > 1 месяц назад
  5. Объем запаса; отображается красным цветом, если запасы не доступны.
  6. Цена за единицу; отображается красным цветом, если цена не доступна. Цена показана в валюте, заданной в настройках панели ().
  7. Упаковка поставляемых компонентов. Наведите курсор мыши для получения более подробной информации.
  8. Доступные цены в зависимости от размера партии, с минимальным размером заказа.

Работа с собственными компонентами

В какой-то момент может понадобиться создать собственный компонент и сохранить его локально. По сути, есть два типа компонентов, которые можно создать:

  • Управляемые компоненты – компоненты создаются и сохраняются на сервере управляемых данных.
    ► Узнайте больше об управляемых компонентах
  • Неуправляемые компоненты – компоненты создаются и сохраняются в файлах библиотек Altium, которые называются библиотеками на основе файлов, или файловыми библиотеками.
    ► Узнайте больше о файловых компонентах и библиотеках

Обзор, поиск и размещение управляемых и неуправляемых компонентов осуществляется с помощью панели Components. Как и панель Manufacturer Part Search, панель Components поддерживает текстовый поиск, параметрический поиск и комбинацию этих подходов. Используйте кнопку , чтобы отобразить панель Components.

Панель Components при обзоре компонентов, сохраненных на сервере управляемых данных. Наведите, чтобы увидеть панель при обзоре файловых компонентов.Панель Components при обзоре компонентов, сохраненных на сервере управляемых данных. Наведите, чтобы увидеть панель при обзоре файловых компонентов.

В этом уроке все компоненты будут размещены из панели Manufacturer Part Search. В этом разделе представлен базовый обзор работы с неуправляемыми компонентами.

Хранение неуправляемых компонентов на основе файлов

В Altium Designer могут быть использованы следующие варианты хранения компонентов на основе файлов:

Тип библиотеки Функция
Схемная библиотека Схемные символы создаются в схемных библиотеках (*.SchLib), которые хранятся локально. Символ становится компонентом при добавлении ссылки на посадочное мест и добавлении параметров компонентов, указывающих характеристики компонента.
Библиотека посадочных мест Посадочные места (модели PCB) создаются в библиотеках посадочных мест (*.PcbLib), которые хранятся локально. Посадочное место включает в себя электрические элементы, такие как контактные площадки, а также механические элементы, такие как размеры, места клейки и т.д. Посадочное место также может включать в себя 3D-модель, созданное с помощью 3D-объектов или импорта модели STEP.
Пакет библиотеки / Интегрированная библиотека Помимо непосредственной работы с библиотеками схемных символов и посадочных мест, вы также можете скомпилировать компоненты в интегрированную библиотеку (*.IntLib, хранится локально). Результатом компиляции является единая переносимая библиотека, которая содержит в себе все модели и символы. Интегрированная библиотека компилируется из пакета библиотеки (*.LibPkg), который по сути является специальным файлом проекта с документами библиотеки схемных символов (*.SchLib) и посадочных мест (*.PcbLib), добавленных в качестве исходных документов. В процессе компиляции вы можете осуществить проверки потенциальных проблем, какие как потерянные модели и несоответствия выводов символа и контактных площадок посадочного места.
Библиотека Altium на основе базы данных Промежуточный файл библиотеки базы данных (DbLib) представляет внешний источник данных ODBC в качестве библиотеки компонентов Altium (каждая запись определяет компонент). Модели Altium (символ, посадочное место и т.д.) хранятся в файловых библиотеках и указываются для каждой записи в базе данных. В DbLib поля базы данных приведены в соответствие с параметрами компонентов, которые извлекаются и добавляются в компонент при его размещении из DbLib.

Библиотеки, доступные для размещения неуправляемых компонентов

Страница диалогового окна: Available File-based Libraries

В Altium Designer библиотечные компоненты могут быть размещены только из доступных библиотек. Доступные библиотеки включают в себя:

  • Библиотеки в текущем проекте – если библиотека является частью проекта, то ее компоненты автоматически становятся доступными для размещения в этом проекте.
  • Установленные библиотеки – это те библиотеки, которые были установлены в Altium Designer, их компоненты доступны для использования в любом открытом проекте.
  • Библиотеки в заданном пути поиска – также можно определить путь поиска папки, которая содержит множество библиотек. Поскольку каждый раз, когда в панели выбирается новый компонент, производится поиск по всем файлам в пути поиска, такой подход рекомендуется использовать только при малых библиотек, которые содержат простые определения моделей, например, модели для математического анализа. Пути поиска не рекомендуется использовать для сложных моделей, таких как посадочные места, которые включают в себя 3D-модели.

Установка файловой библиотеки

Установка библиотек осуществляется на вкладке Installed диалогового окна Available File-based Libraries. Чтобы открыть это диалоговое окно, нажмите кнопку в верхней части панели Components и выберите в меню пункт File-based Libraries Preferences.

Установите библиотеку, чтобы сделать ее компоненты доступными для проектов.
Установите библиотеку, чтобы сделать ее компоненты доступными для проектов.

Расширенный поиск в файловых библиотеках

Для помощи в поиске компонента в установленных и не установленных в данный момент библиотеках Altium Designer включает в себя средство поиска по библиотекам.

Поиск осуществляется в диалоговом окне File-based Libraries Search, для открытия которого нужно нажать кнопку в панели Components и выбрать пункт File-based Libraries Search в меню. Верхняя половина диалогового окна используется для определения того, что вы ищете, а нижняя – где необходимо искать.

Поиск по установленным библиотекам (Available libraries) или библиотекам на жестком диске (Libraries on path).
Поиск по установленным библиотекам (Available libraries) или библиотекам на жестком диске (Libraries on path).

  • Областью поиска Scope является:
    • уже установленные библиотеки (Available libraries) или
    • библиотеки, расположенные на жестком диске (Libraries on Path). Путь Path задает папку, где находятся файлы библиотек, например библиотеки по умолчанию содержатся в папке C:UsersPublicDocumentsAltiumAltium Designer <Version>Library.
  • Нажмите кнопку Search для запуска поиска. Результаты поиска будут отображены в панели Components.
  • Вы можете размещать компоненты только из установленных файловых библиотек. Если попытаться разместить компонент из библиотеки, которая не установлена, будет запрошено подтверждение Confirm the installation на установку этой библиотеки.

Поиск по библиотекам использует запросы. Переключите диалоговое окно File-based Libraries Search в режим Advanced для проверки запроса. Наведите курсор мыши на изображение выше, чтобы отобразить диалоговое окно поиска в режиме Advanced.


Размещение компонентов из панели Manufacturer Part Search на схеме

Если у компонента, который вы нашли в панели Manufacturer Part Search, есть модели Altium, у него будет показана иконка . Если у компонента есть модели, его схемный символ и посадочное место будут отображены в разделе Details панели (нажмите кнопку в панели для отображения этого раздела). Этот компонент можно разместить непосредственно из панели на листе схемы.

Manufacturer Part Search panel, faceted search resultsИспользуйте возможности параметрического поиска в панели Manufacturer Part Search для отображения только компонентов с моделями.

Раздел Filters панели включает в себя фильтр Has Model. Включите его для отображения только готовых для размещения компонентов. Нажмите для отображения доступных фильтров.

Для размещения компонента из панели вы можете:

  • Выберите пункт Place из кнопки Download – курсор автоматически переместится в схему, и под ним появится компонент. Наведите курсор в нужное место схемы и щелкните левой кнопкой мыши (ЛКМ) для размещения компонента. После размещения компонента под курсором появится другой экземпляр этого же компонента. Щелкните ПКМ для выхода из режима размещения.
  • Щелкните ПКМ по компоненту и выберите команду Place из контекстного меню. Под курсором появится компонент. Наведите курсор в нужное место схемы и щелкните ЛКМ для размещения компонента. Обратите внимание, что если панель перекрывает рабочее пространство, она станет прозрачной для возможности увидеть схему и разместить компонент. После размещения компонента под курсором появится другой экземпляр этого же компонента. Щелкните ПКМ для выхода из режима размещения.
  • Перетащите с зажатой ЛКМ – зажмите ЛКМ на компоненте и перетащите его из таблицы панели на схему. Для этого необходимо зажать курсор; после того, как он отпущен, компонент размещается на схеме. С помощью этого подхода можно разместить только один компонент. После его размещения вы можете свободно выбрать другой компонент или команду.

Советы по размещению

Когда компонент привязан к курсору, вы можете:

  • Нажать Пробел для поворота компонента на 90 градусов против часовой стрелки.
  • Нажать X для зеркального отражения компонента по оси X или нажать Y для зеркального отражения компонента по оси Y.
  • Нажать Tab для отображения панели Properties и редактирования свойств объекта перед его размещением. Введенные значения становятся значениями по умолчанию. Если в проекте есть компоненты с позиционным обозначением с тем же префиксом, что у размещаемого компонента, то значение позиционного обозначения размещаемого компонента будет увеличено автоматически.
  • При размещении компонента система будет автоматически панорамировать документ при наведении курсора на край рабочей области. Настройка автопанорамирования осуществляется на странице Schematic — Graphical Editing диалогового окна Preferences. Если при размещении компонента вы случайно панорамировали документ туда, куда не хотели, вы можете:
    • использовать Ctrl + вращение колеса мыши для изменения масштаба или
    • зажать ПКМ для перемещения схемы или
    • использовать Ctrl+PgDn для отображения листа целиком.
  • Если панель Manufacturer Part Search находится над листом схемы, она автоматически становится прозрачной, когда курсор с компонентом подводится близко к панели. Прозрачность панели настраивается на странице System — Transparency диалогового окна Preferences. Либо можно в любой момент скрывать и отображать все плавающие панели (если какая-либо команда активна или нет) с помощью клавиши F4.

► Узнайте больше о Способах размещения объектов и редактирования схемы

Работа с панелью Properties в процессе размещения

Если в процессе размещения объекта нажать клавишу Tab, процесс редактирования будет приостановлен и будет открыта панель Properties. По умолчанию наиболее часто редактируемое поле подсвечивается для изменения его значения. Поскольку процесс редактирования приостанавливается, вы можете использовать курсор (или нажимать Tab на клавиатуре) для перехода к другим полям в панели.

По окончании редактирования нажмите кнопку паузы ( ), как показано на изображении ниже, чтобы вернуться к размещению объекта. Либо нажмите клавишу Enter, чтобы закончить редактировать объект и вернуться к его размещению.

Редактирование приостанавливается при нажатии на клавишу Tab в процессе размещения – нажмите иконки паузы на экране, чтобы вернуться к размещению компонента.


Размещение компонентов мультивибратора

Теперь пора использовать панель Manufacturer Part Search для поиска компонентов, необходимых для схемы мультивибратора. Эти компоненты перечислены в таблице ниже.

Позиционное обозначение Описание Комментарии
Q1, Q2 NPN-транзистор общего назначения, например BC547 или 2N3904 Проведите поиск по запросу: transistor BC547, выберите BC547CG
R1, R2 Резистор 100K, 5%, 0805 Проведите поиск по запросу: resistor 100K 5% 0805.
R3, R4 Резистор 1K , 5%, 0805 Проведите поиск по запросу: resistor 1K 5% 0805.
C1, C2 Конденсатор 22nF, 5%, 16V, 0603 Проведите поиск по запросу: capacitor 22nF 16V 0603
P1 2-выводной соединитель, сквозной монтаж Используйте параметрический поиск, чтобы найти 2-выводной вертикальный соединитель-вилку.

После размещения компонентов схема должна выглядеть примерно как на изображении ниже.

Вы можете приступить к поиску и размещению компонентов. Обратите внимание на сворачиваемые области ниже, которые содержат советы по редактированию в процессе размещения, что более эффективно редактирования после размещения. Если вы решили редактировать компоненты после их размещения, щелкните ЛКМ по компоненту, чтобы выделить его и отредактировать его в панели Properties.

Все компоненты размещены и готовы к формированию соединений.
Все компоненты размещены и готовы к формированию соединений.

  1. Выберите команду View » Fit Document (сочетание: V, D), чтобы схема отображалась на все рабочее пространство.
  2. Используйте описанные выше способы поиска в панели Manufacturer Part Search, чтобы искать по запросу: transistor BC547.
  3. Отобразите информационный раздел панели, чтобы изучить свойства и модели выделенного компонента. Вы выберите компонент, который содержит символ и посадочное место.
  4. Для изучения доступности компонента выделите его в панели, затем щелкните ЛКМ по появившейся ссылке SPN.
  5. Щелкните ЛКМ по нужному транзистору в таблице панели, чтобы выделить его, затем нажмите по выпадающему списку Download (как показано ниже) и выберите Place. Курсор изменит свой вид на перекрестие, и к нему будет привязано изображение транзистора. Теперь вы находитесь в режиме размещения компонента. При перемещении курсора транзистор будет следовать за ним.

    Пока не размещайте транзистор!

  6. Перед размещением компонента на схеме вы можете отредактировать его свойства, что можно сделать для любого объекта, привязанного к курсору. Поскольку транзистор пока привязан к курсору, нажмите клавишу Tab, чтобы открыть панель Properties. По умолчанию наиболее часто редактируемое поле будет подсвечено для изменения его значения; в данном случае это позиционное обозначение Designator. Обратите внимание, что каждый раздел панели можно развернуть или свернуть, поэтому у вас панель может выглядеть по-другому.

    Задайте позиционному обозначению Designator значение Q1 и включите видимость комментария Comment.
    Задайте позиционному обозначению Designator значение Q1 и включите видимость комментария Comment.

  7. В поле Designator в разделе Properties панели введите значение Q1.
  8. Убедитесь, что включена видимость поля Comment ( ).
  9. Остальным полям оставьте значения по умолчанию, затем нажмите кнопку паузы ( ), чтобы вернуться к размещению компонента.
  10. Переместите курсор с прикрепленным к нему символом транзистора чуть левее середины листа. Обратите внимание на текущую сетки привязки, которая отображается в левой части строки состояния внизу окна приложения. По умолчанию она задана 100mil; вы можете нажимать клавишу G для циклического переключения между доступными настройками сетки в процессе размещения объекта. Настоятельно рекомендуется задать сетку привязки 100mil или 50mil, чтобы сделать схему аккуратной или упростить привязку проводов к выводам компонентов. Для такой простой схемы, как эта, размер 100mil будет хорошим выбором.
  11. Выбрав нужное положение транзистора, щелкните ЛКМ или нажмите клавишу Enter для размещения транзистора на схеме. При необходимости его положение можно изменить позже.
  12. Переместите курсор, и вы увидите, что на схеме был размещен экземпляр транзистора. Вы всё ещё находитесь в режиме размещения компонента – к курсору привязан транзистор, что позволяет разместить множество компонентов одного типа.
  13. Вы готовы разместить второй транзистор. Он будет точно таким же, что и предыдущий, поэтому изменять его свойства перед размещением не понадобится. Система автоматически увеличивает номер позиционного обозначения при размещении множества экземпляров одного компонента. В этом случае следующий транзистор будет автоматически обозначен как Q2.
  14. Если вы посмотрите на схему на изображении выше, вы обратите внимание, что Q2 отображен зеркально Q1. Для горизонтального отражения транзистора, привязанного к курсору, нажмите клавишу X. Компонент будет отражен по оси X.
  15. Переместите курсор для размещения компонента справа от Q1. Для более точного размещения дважды нажмите клавишу PgUp, чтобы приблизить вид и увидеть линии сетки.
  16. После размещения компонента щелкните ЛКМ или нажмите клавишу Enter, чтобы разместить Q2. Опять же, после размещения на схеме экземпляра транзистора, который был под курсором, к курсору будет привязан следующий транзистор, готовый к размещению.
  17. Поскольку оба транзистора размещены, выйдите из режима размещения компонента, щелкнув ПКМ или нажав клавишу Esc. Курсор примет вид стандартной стрелки.
  1. Вернитесь в панель Manufacturer Part Search и проведите поиск по запросу: capacitor 22nF 16V 0603. Будет найден ряд потенциально подходящих конденсаторов. В начале будут отображены компоненты с моделями.
  2. В таблице результатов поиска выделите конденсатор, у которого есть модели, щелкните ПКМ по нему и выберите Place из контекстного меню.
  3. К курсору будет привязан конденсатор. Нажмите клавишу Tab, чтобы открыть панель Properties.
  4. В разделе Properties панели в поле Designator введите C1.
  5. Убедитесь, что включена видимость поля Comment.
  6. Разверните раздел Footprint панели Properties. У многих резисторов и конденсаторов есть три посадочных места: для низкой (M), средней (N) и высокой (L) плотности монтажа согласно IPC. Если сравнивать названия посадочных мест, они идентичны, за исключением буквы, обозначающей плотность монтажа. Выберите вариант M, как показано на изображении ниже.

  7. Остальным полям оставьте значения по умолчанию и нажмите кнопку паузы ( ), чтобы вернуться к размещению компонента. Конденсатор будет привязан к курсору.
  8. Нажимайте клавишу Пробел для поворота компонента на 90 градусов до тех пор, пока он не примет нужную ориентацию.
  9. Разместите конденсатор над транзисторами (см. изображение схемы выше) и щелкните ЛКМ или нажмите клавишу Enter для размещения компонента.
  10. Разместите конденсатор C2.
  11. Щелкните ПКМ или нажмите клавишу Esc для выхода из режима размещения.
  12. В качестве параметров конденсаторов по умолчанию можно оставить только название производителя и номер компонента, но размещенным конденсаторам могут быть добавлены любые параметры, отображенные в панели Manufacturer Part Search. Чтобы проверить текущие параметры, дважды щелкните ЛКМ по одному из конденсаторов и в открывшейся панели Properties щелкните ЛКМ по вкладке Parameters в ее верхней части.
  13. Если в списке параметров отсутствуют емкость и напряжение, вернитесь в панель Manufacturer Part Search, убедитесь, что нужный конденсатор всё ещё выделен здесь, затем нажмите ссылку Show More, чтобы отобразить полный список доступных параметров. С помощью стандартного метода выделения Windows Ctrl+ЛКМ выделите следующие параметры (если они доступны): Capacitance, Case/Package, Tolerance и Voltage Rating.
  14. Щелкните ПКМ по любому из выделенных параметров и выберите команду Add Parameters to Part из контекстного меню.

  15. Курсор изменит свой вид на перекрестие. Щелкните ЛКМ по каждому конденсатору, чтобы добавить им выбранные параметры. Если навести курсор на центр символа конденсатора будет сложно, зажмите клавишу Ctrl, чтобы временно отключить сетку привязки.
  16. Убедитесь, что параметры были добавлены конденсаторам C1 и C2.
  1. В панели Manufacturer Part Search проведите поиск по запросу: resistor 100K 5% 0805. Будет найден ряд потенциально подходящих резисторов. В начале будут отображены компоненты с моделями.
  2. В таблице результатов поиска выделите подходящий резистор 100K, у которого есть модели, и отобразите раздел Models панели.
  3. У многих резисторов и конденсаторов есть три посадочных места: для низкой (M), средней (N) и высокой (L) плотности монтажа согласно IPC. Если сравнивать названия посадочных мест, они идентичны, за исключением буквы, обозначающей плотность монтажа. Выберите вариант M, как показано на изображении ниже. Этот выбор можно сделать до размещения компонента на схеме, в процессе размещения компонента на схеме или после размещения компонента на схеме.
  4. В таблице результатов поиска щелкните ПКМ по резистору и выберите команду Place из контекстного меню, как показано ниже.

  5. К курсору будет привязан резистор. Нажмите клавишу Tab, чтобы открыть панель Properties.
  6. В разделе Properties панели в поле Designator введите R1.
  7. Остальным полям оставьте значения по умолчанию и нажмите кнопку паузы ( ), чтобы вернуться к размещению компонента. Резистор будет привязан к курсору.
  8. Нажимайте клавишу Пробел для поворота компонента на 90 градусов до тех пор, пока он не примет нужную ориентацию.
  9. Разместите резистор выше и левее базы Q1 (см. изображение схемы выше) и нажмите ЛКМ или клавишу Enter для размещения компонента.
  10. Далее разместите другой резистор 100k, R2, выше и правее базы Q2. При размещении второго резистора номер его позиционного обозначения будет увеличен автоматически.
  11. Выйдите из режима размещения компонента, щелкнув ПКМ или нажатием на клавишу Esc. Курсор примет вид стандартной стрелки.
  12. В качестве параметров резисторов по умолчанию можно оставить только название производителя и номер компонента, но размещенным резисторам могут быть добавлены любые параметры, отображенные в панели Manufacturer Part Search. Чтобы проверить текущие параметры, дважды щелкните ЛКМ по одному из резисторов 100K и в открывшейся панели Properties щелкните ЛКМ по вкладке Parameters в ее верхней части.
  13. Если в списке параметров отсутствует сопротивление, вернитесь в панель Manufacturer Part Search. Убедитесь, что нужный резистор всё ещё выделен здесь, затем нажмите ссылку Show More, чтобы отобразить полный список доступных параметров. С помощью стандартного метода выделения Windows Ctrl+ЛКМ выделите следующие параметры (если они доступны): Case/Package, Resistance и Tolerance.
  14. Щелкните ПКМ по любому из выделенных параметров и выберите команду Add Parameters to Part из контекстного меню.
  15. Курсор изменит свой вид на перекрестие. Щелкните ЛКМ по каждому резистору, чтобы добавить им выбранные параметры.
  16. Убедитесь, что резисторам R1 и R2 были добавлены параметры. Значение сопротивления будет отображено позже.
  17. Оставшиеся два резистора, R3 и R4, имеют номинал 1K. Произведите поиск по запросу resistor 1K 5% 0805 панели Manufacturer Part Search. Будет найден ряд потенциально подходящих резисторов. В начале будут отображены компоненты с моделями.
  18. В таблице результатов поиска выделите подходящий резистор 1K , у которого есть модели, и отобразите раздел Models панели.
  19. У многих резисторов и конденсаторов есть три посадочных места: для низкой (M), средней (N) и высокой (L) плотности монтажа согласно IPC. Если сравнивать названия посадочных мест, они идентичны, за исключением буквы, обозначающей плотность монтажа. Выберите вариант M.
  20. В таблице результатов поиска щелкните ПКМ по резистору и выберите команду Place из контекстного меню, как показано ниже.
  21. К курсору будет привязан резистор. Нажмите клавишу Tab, чтобы открыть панель Properties.
  22. В разделе Properties панели в поле Designator введите R3.
  23. Остальным полям оставьте значения по умолчанию и нажмите кнопку паузы ( ), чтобы вернуться к размещению компонента. Резистор будет привязан к курсору.
  24. Нажимайте клавишу Пробел для поворота компонента на 90 градусов до тех пор, пока он не примет нужную ориентацию.
  25. Разместите R3 прямо над коллектором Q1, затем разместите R4 прямо над коллектором Q2, как показано на изображении выше.
  26. Щелкните ПКМ или нажмите клавишу Esc для выхода из режима размещения.
  27. Используйте тот же процесс, который описан для резисторов R1 и R2, чтобы добавить резисторам R3 и R4 параметры Case/Package, Resistance и Tolerance.
  28. Последним этапом является настройка видимости параметров. Это можно сделать сразу для четырех резисторов. Чтобы выделить все резисторы, используйте рамку, перетащив ее справа налево для выделения объектов, пересекающих рамку (показано на анимации ниже).
  29. На вкладке General панели Properties отключите видимость параметра Comment (показано на анимации ниже).
  30. На вкладке Parameters панели Properties включите видимость параметра Resistance (показано на анимации ниже).
  31. Переместите строку Resistance в подходящее положение. При перемещении строки можно временно отключить сетку привязки, зажав клавишу Ctrl. Другой способ – поворот компонента(-ов) на схеме (Ctrl+Пробел) автоматически сбросит все видимые параметры до их положения по умолчанию (показано на анимации ниже).
  1. Последний компонент, который следует найти, это 2-выводной соединитель. Вернитесь в панель Manufacturer Part Search. На этот раз используйте возможности параметрического поиска в панели.
  2. В выпадающем списке Categories выберите Headers and Wire Housings в категории Connectors.
  3. Нажмите кнопку фильтра ( ), чтобы отобразить столбец Filters.
  4. Список доступных фильтров динамически обновляется в соответствии с выбранной категорией. Он достаточно длинный, поэтому для упрощения работы с ним отображаются только наиболее часто используемые фильтры. Пролистайте список вниз и нажмите ссылку , чтобы отобразить все доступные фильтры.
  5. Эффективным способом работы с фильтром является использование поля Search вверху. Поиск возвращает строки, соответствующие либо названию фильтра Filter Name, либо настройкам фильтра Filter Settings. Используйте следующие поисковые запросы, примените фильтры и выберите опции, приведенные ниже:
    Поисковый запрос Выбор
    has model Has Model: Yes
    contacts Number of Contacts: 2
    pitch Pitch: 2.54mm
    male Gender: Male
    vertical Orientation: Vertical
  6. Должно быть найдено небольшое количество 2-выводных вертикальных соединителей-вилок, как показано ниже. Выберите подходящий 2-выводной соединитель, например Samtec TSW-102-26-F-S или Samtec TSW-102-26-G-S из результатов поиска. Щелкните ПКМ по нему и выберите команду Place из меню.

  7. К курсору будет привязан соединитель. Нажмите клавишу Tab, чтобы отредактировать атрибуты и задать позиционному обозначению Designator значение P1.
  8. Перед размещением соединителя используйте клавишу Пробел, чтобы повернуть его, как необходимо. Щелкните ЛКМ для размещения соединителя на схеме, как показано на изображении выше.
  9. Щелкните ПКМ или нажмите клавишу Esc для выхода из режима размещения.
  10. Сохраните схему.

Редактирование в панели Properties

Одной из полезных возможностей панели Properties является поддержка одновременного редактирования множества выделенных объектов.

  • Если у всех объектов есть одно и то же свойство, оно доступно для редактирования.
  • Если у всех объектов есть одно и то же свойство с одинаковым значением, будет отображено это значение.
  • Если у объектов есть одно и то же свойство, но их значения отличаются, то оно будет отображено символом звездочки (*).
  • Введенное значение или выбранная опция будет применена ко всем выделенным объектам.

Использование панели Properties для редактирования множества выделенных объектов. Поворот выделенных компонентов осуществляется, чтобы принудительно разместить строки в своих положениях по умолчанию.Использование панели Properties для редактирования множества выделенных объектов. Поворот выделенных компонентов осуществляется, чтобы принудительно разместить строки в своих положениях по умолчанию.

Теперь все компоненты размещены. Обратите внимание, что компоненты, показанные на изображении выше, расположены на достаточном расстоянии друг от друга для простого размещения связей между выводами компонентов. Это важно, поскольку вы не можете разместить провод через вывод, чтобы подключить вывод, который находится за ним. В этом случае, оба вывода будут подсоединены к проводу. Если вы хотите переместить компонент, зажмите ЛКМ на графике компонента и переместите мышь, чтобы изменить его положение.

Советы по размещению компонентов

  • Для изменения положения любого объекта наведите курсор прямо на объект, зажмите ЛКМ, перетащите объект в новое положение, затем отпустите ЛКМ. Перемещение ограничено активной сеткой привязки, значение которой отображено в строке состояния. Нажмите клавишу G для циклического переключения между настройками сетки привязки. Помните, что важно размещать компоненты в крупной сетки, например 50 или 100 милов.
  • После того, как компонент был размещен на схеме, система попытается сохранить связи (сохранить соединение проводов) при перемещении компонента. Перемещение с учетом соединений называется перетаскиванием. Для перемещения компонента без сохранения соединений перетаскивайте компонент с зажатой ЛКМ и клавишей Ctrl. Для изменения поведения перетаскивания и перемещения по умолчанию отключите опцию Always Drag на странице Schematic — Graphical Editing диалогового окна Preferences.
  • Поскольку редактор схем по умолчанию всегда настроен на перетаскивание, клавишу Пробел нельзя использовать для поворота размещенного компонента. Для поворота размещенного компонента используйте сочетание Ctrl+Пробел.
  • Также не доступно изменение положения группы выделенных на схеме объектов с помощью клавиш со стрелками на клавиатуре. Выделите объекты, затем нажмите клавишу со стрелкой при зажатой клавише Ctrl. Если при этом зажать еще клавишу Shift, то объекты будут перемещаться на 10 узлов сетки привязки.
  • При перемещении компонента с зажатой ЛКМ можно временно задать сетке значение 1 – для этого зажмите клавишу Ctrl. Используйте эту возможность для размещения текста.
  • Сетки, между которыми вы циклически переключаетесь с помощью клавиши G, определены на странице Schematic — Grids диалогового окна Preferences (Tools » Preferences). Элементы управления Units на странице Schematic — General диалогового окна Preferences используются для выбора системы единиц измерения – выберите Mils или Millimeters. Обратите внимание, что компоненты Altium Designer созданы в дюймовой сетке. Если вы измените сетку на метрическую, выводы компонентов не будут попадать в стандартную сетку. Поэтому рекомендуется использовать значение Mils опции Units, если вы не планируете использовать только собственные компоненты.

Подключение схемы

Подключение – это процесс создание связей между компонентами схемы. Для подключения схемы обратитесь к наброску схемы и анимации ниже.

Используйте инструмент Wire для подключения схемы. Ближе к концу анимации показано перетаскивание проводов.

Панель инструментов Active Bar

Наиболее часто используемые инструменты каждого редактора доступны в панели инструментов Active Bar, которая отображена в верхней части области редактирования.

Кнопки панели Active Bar могут быть одно- и многофункциональными. Многофункциональные кнопки обозначены небольшим треугольником. Зажмите ЛКМ на такой кнопке на одну секунду – появится меню со списком других доступных команд. Команда из списка, которая была использована последний раз, станет командой кнопки по умолчанию.

  1. Чтобы изменить вид схемы, нажмите клавишу PgUp, чтобы приблизить, или PgDn, чтобы отдалить вид. Либо зажмите клавишу Ctrl и вращайте колесо мыши или зажмите сочетание ПКМ с клавишей Ctrl и перемещайте мышь вверх/вниз для приближения/отдаления. Есть также набор полезных команд по управлению видом из подменю View контекстного меню, например задание вида по всем объектам Fit All Objects (сочетание Ctrl+PgDn).
  2. Сначала задайте связь между нижним выводом резистора R1 и базой транзистора Q1 следующим образом. Нажмите кнопку в панели Active Bar (Place » Wire или сочетание Ctrl+W) для входа в режим размещения объекта. Курсор изменит свой вид на перекрестие.
  3. Наведите курсор на нижний вывод R1. У курсора появится синяя метка соединения (синее перекрестие), которая означает, что курсор находится в точке электрического подключения компонента.
  4. Щелкните ЛКМ или нажмите Enter, чтобы привязать провод к первой точке. Переместите курсор, и вы увидите провод, который тянется от текущего положения курсора к точке привязки.
  5. Разместите курсор на базе Q1 так, чтобы увидеть синее перекрестие курсора. Если провод формирует излом в неправильном направлении, нажмите Пробел, чтобы переключить направление излома.
  6. Щелкните ЛКМ или нажмите Enter для соединения провода с базой Q1. Курсор больше не будет привязан к этому проводу.
  7. Обратите внимание, что курсор останется перекрестием, что означает, что вы готовы к размещению следующего провода. Чтобы полностью выйти из режима размещения и вернуть курсору вид стрелки, щелкните ПКМ или нажмите Esc, но не делайте этого прямо сейчас.
  8. Далее задайте связь между нижним выводом R3 и коллектором Q1. Наведите курсор на нижний вывод R3 и щелкните ЛКМ или нажмите Enter, чтобы начать размещение нового провода. Переместите курсор вертикально, чтобы он оказался над коллектором Q1, затем щелкните ЛКМ или нажмите Enter для размещения сегмента провода. Опять же, курсор больше не будет привязан к проводу, и вы останетесь в режиме размещения для создания следующего провода.
  9. Задайте остальные связи в схеме, как показано на анимации выше.
  10. Когда вы закончили размещение всех проводов, щелкните ПКМ или нажмите Esc для выхода из режима размещения. Курсор снова станет стрелкой.

Советы по размещению связей

  • Используйте сочетание клавиш Ctrl+W для запуска команды Place » Wire.
  • Щелкните ЛКМ или нажмите Enter, чтобы привязать провод к текущему положению курсора.
  • Нажмите Backspace для удаления последней точки привязки.
  • Нажмите Пробел для переключения направления излома. Вы можете видеть это на анимации выше, где показано создание связей для соединителя.
  • Нажмите Shift+Пробел для переключение между режимами размещения изломов. Доступные режимы: 90 градусов, 45 градусов, произвольный угол и автоматическое размещение (размещение ортогональных сегментов проводов между точками щелчков ЛКМ).
  • Щелкните ПКМ или нажмите Esc для выхода из режима размещения провода.
  • Зажмите ЛКМ для перетаскивания компонента вместе с подключенными к нему проводами. Зажмите Ctrl+ЛКМ для перемещения размещенного компонента.
  • Если провод пересекается с точкой подключения компонента или завершается на другом проводе, автоматически создается соединение.
  • Провод, который пересекает конец вывода, будет подключен к этому выводу, даже если вы удалите соединение. Перед тем как продолжить, проверьте, что схема выглядит так, как на изображении выше.
  • Если необходимо, пересечения проводов можно отображать в виде небольших дуг. Для этого включите соответствующую опцию на странице Schematic — General в диалоговом окне Preferences.

Цепи и метки цепей

Набор выводов компонентов, которые соединены между собой, называется цепью. Например, одна из цепей включает в себя базу Q1, один вывод R1 и один вывод C1. Каждой цепи присвоено сформированное системой название, которое зависит от выводов одного из компонентов в этой цепи.

Чтобы упростить распознавание важных для проекта цепей, можно назначать им названия с помощью меток Net Label. Для схемы мультивибратора добавим метки к цепям 12V и GND, как показано ниже.

Метки Net Label добавлены цепям 12V и GND, что завершает схему.
Метки Net Label добавлены цепям 12V и GND, что завершает схему.

  1. Нажмите кнопку (Place » Net Label). К курсору будет привязана метка цепи.
  2. Чтобы отредактировать метку цепи перед ее размещением, нажмите клавишу Tab, и будет открыта панель Properties.
  3. Введите 12V в поле Net, затем щелкните ЛКМ по кнопке паузы ( ), чтобы вернуться к размещению объекта.
  4. Разместите вывод таким образом, чтобы его точка привязки (нижний левый угол) касалась самого верхнего провода на схеме, как показано на изображениях ниже. При правильном расположении метки цепи для ее соединения с проводом курсор изменит свой вид на синее перекрестие. Если курсор светло-серый, то корректное соединение не будет установлено.

    Placing a Net Label, not yet touching the wire   Placing a Net Label, touching the wire
    Метка цепи в свободном пространстве (изображение слева) и размещенное на проводе (изображение справа). Обратите внимание на синее перекрестие.

  5. После размещения первой метки вы останетесь в режиме размещения метки. Снова нажмите клавишу Tab, чтобы отредактировать вторую метку цепи в панели Properties перед ее размещением.
  6. Введите GND в поле Net Name и нажмите Enter, чтобы вернуться в режим размещения объекта.
  7. Разместите метку цепи так, чтобы ее левый нижний угол касался самого нижнего провода на схеме (как показано на изображении завершенной схемы выше). Щелкните ПКМ или нажмите Esc, чтобы выйти из режима размещения метки цепи.
  8. Сохраните схему и проект.

Метки цепей, порты и порты питания

  • Помимо назначения названий цепям, метки Net Label также используется для создания связности между двумя отдельными точками одного листа схемы.
  • Порты Port используются для создания связности между двумя отдельными точками разных листов. Для этого также можно использовать объекты Off Sheet Connector.
  • Порты питания Power Pors используются для создания связи между точками на всех листах. Для этого однолистового проекта можно использовать метки цепей Net Label и порты питания Power Port.

Поздравляем! Вы завершили формирование своей первой схемы. Перед тем, как передать данные из схемы на плату, необходимо выполнить настройку проекта и проверить проект на ошибки.


Настройка проекта

Настройка проекта осуществляется в диалоговом окне Project Options, которое показано ниже (Project » Project Options). Настройка проекта включает в себя параметры проверки на ошибки, матрицу соединений, формирование классов, настройки компаратора, формирование ECO, настройки путей выходных документов и связности, форматы именования многоканальной схемы, настройки печати по умолчанию, пути поиска и параметры проекта.

Выходные документы проекта, такие как документы для сборки и изготовления и отчеты, можно настроить в меню File и Reports. Эти настройки также сохраняются в файле проекта, поэтому они всегда доступны для этого проекта. Другим подходом к настройке выходных документов является использование файла OutputJob, который можно копировать между проектами. Чтобы получить более подробную информацию о настройке выходных документов, см. Подробнее о выходной документации.

Динамическая компиляция

Унифицированная модель данных (Unified Data Model, UDM) доступна с момента открытия проекта. Это не требует дополнительной компиляции, что экономит время, повышает скорость компиляции. Актуальный список цепей и компонентов постоянно отображается в панели Navigator. Модель соединений проекта инкрементально обновляется после каждого действия пользователя. Это значит, что компиляция проекта больше не требуется, чтобы увидеть содержимое панели Navigator, формирования состава изделия (BOM) или проведения проверки электрических правил (ERC). Ручная компиляция не нужна для следующего:

  • Панель Navigator и Projects
  • ActiveBOM
  • Перекрестный переход
  • Выделение цепей цветом
  • Эквивалентная замена выводов
  • Перекрестные ссылки на компоненты

Проверка электрических свойств схемы

Схема – это больше чем просто чертеж, поскольку она содержит информацию об электрических связях. Эту информацию можно использовать для проверки проекта. При валидации проекта (Project » Validate PCB Project) система проверяет логические, электрические и графические ошибки унифицированной модели данных в соответствии с настройками компиляции. Все найденные нарушения будут отображены в панели Messages.

Настройка отчетов об ошибках

Страница диалогового окна: Error Reporting

Вкладка Error Reporting диалогового окна Project Options используется для настройки широкого набора проверок схемы. Настройки в столбце Report Mode показывают уровень критичности нарушений. Для изменения настройки щелкните ЛКМ по элементу в столбце Report Mode того нарушения, которое вы хотите изменить, и выберите уровень критичности из выпадающего списка.

Настройки на вкладке Error Reporting для обнаружения ошибок проектирования при компиляции проекта.
Настройки на вкладке Error Reporting для обнаружения ошибок проектирования при компиляции проекта.

  1. Выберите команду Project » Project Options, чтобы открыть диалоговое окно Options for PCB Project.
  2. Пролистайте список проверок на ошибки и обратите внимание, что они сгруппированы по категориям. Каждую группу можно сворачивать и разворачивать при необходимости.
  3. Щелкните ЛКМ по настройке Report Mode какой-либо проверки, чтобы увидеть доступные варианты.

Настройка матрицы соединений

Страница диалогового окна: Connection Matrix

При создании проекта происходит запись в память списка выводов каждой цепи. Распознается тип каждого вывода (т.е. вход, выход, пассивный и т.д.), затем осуществляется проверка каждой цепи на предмет того, нет ли в них выводов, которые не должны быть подключены друг к другу, например, не подключен ли выходной вывод к другому выходному выводу. На вкладке Connection Matrix диалогового окна Project Options вы можете настроить, выводы каких типов могут быть соединены друг с другом. Например, посмотрите на элементы справа от матрицы и найдите Output Pin. Просмотрите эту строку, пока не увидите столбец Open Collector Pin. На пересечении будет оранжевый квадратик, который указывает, что соединение выходного вывода с выводом открытого коллектора приведет к ошибке при компиляции проекта.

Вы можете задать каждому типу соединения собственный уровень ошибки, от No Report (Без сообщения) до Fatal Error (Критическая ошибка). Щелкните ЛКМ по цветному квадратику для изменения настройки; продолжайте щелкать ЛКМ для перехода по различным уровням ошибок. Настройте матрицу так, чтобы для соединения Unconnected — Passive Pin формировалась ошибка Error, как показано на изображении ниже.

Вкладка Connection Matrix определяет проверку электрических аспектов на схеме; обратите внимание, что настройка Unconnected - Passive Pin была изменена.
Вкладка Connection Matrix определяет проверку электрических аспектов на схеме; обратите внимание, что настройка Unconnected - Passive Pin была изменена.

  1. Чтобы изменить какую-либо настройку, щелкните ЛКМ по цветному квадратику, и он будет циклически изменять свое состояние между четырьмя доступными вариантами. Обратите внимание, что при щелчке ПКМ в диалоговом окне появится меню, которое позволяет изменить все настройки одновременно, в том числе вернуть их к состоянию по умолчанию Default (полезно, если вы изменили настройки и не можете вспомнить их состояния по умолчанию).
  2. Схема содержит только пассивные выводы. Изменим настройку по умолчанию матрицы соединения таким образом, чтобы происходило обнаружение неподключенных пассивных выводов. Найдите строку Passive Pin матрицы и столбец Unconnected. Квадратик на их пересечении означает уровень ошибки, если будет обнаружен пассивный вывод, который не подключен на схеме. Настройкой по умолчанию является зеленый квадратик, что означает, что никакого сообщения сформировано не будет.
  3. Щелкайте ЛКМ по этому квадратику, пока он не станет оранжевым (как показано на изображении выше), чтобы при обнаружении в процессе компиляции неподключенного пассивного вывода формировалась ошибка. Далее мы специально создадим такую ошибку на схеме.

Настройка формирования классов

Страница диалогового окна: Class Generation

Вкладка Class Generation в диалоговом окне Project Options используется для настройки типов классов, которые будут формироваться в проекте (вкладки Comparator и ECO Generation используются для последующего управления передачей классов в плату). По умолчанию система будет формировать классы компонентов и комнаты для каждого листа схемы, а также классы цепей для каждой шины в проекте. Для простого однолистового проекта, такого как наш, нет необходимости в формировании классов компонентов и комнат. Убедитесь, что флажок Component Classes не поставлен – это также отключит создание комнаты для класса компонента.

Обратите внимание, что эта вкладка диалогового окна также включает в себя настройки пользовательских классов в области User-Defined Classes.

Вкладка Class Generation используется для настройки того, какие классы и комнаты будут автоматически формироваться для проекта.Вкладка Class Generation используется для настройки того, какие классы и комнаты будут автоматически формироваться для проекта.

  1. Снимите флажок Component Classes, как показано на изображении выше. Это автоматически отключит создание комнаты для этого листа схемы.
  2. В проекте нет шин, поэтому снимать флажок Generate Net Classes for Buses, расположенный в верхней части диалогового окна, необходимости нет.
  3. В проекте нет пользовательских классов цепей (что осуществляется путем размещения директив классов цепей на линии связи), поэтому снимать флажок Generate Net Classes в области User-Defined Classes диалогового окна необходимости нет.

Настройка компаратора

Страница диалогового окна: Comparator

Вкладка Comparator в диалоговом окне Project Options определяет, о каких различиях между файлами будет сообщаться в ходе компиляции проекта. Как правило, настройки на этой вкладке необходимо изменять только в том случае, когда плата содержит дополнительные данные, такие как правила проектирования, и вы не хотите, чтобы эти данные были удалены в ходе синхронизации проекта. Если необходимо более точное управление, вы можете выборочно настроить компаратор с помощью отдельных настроек.

Для этого урока достаточно убедиться, что настройка Ignore Rules Defined in PCB Only включена, как показано на изображении выше.

Вкладка Comparator используется для настройки проверки определенных различий компаратором.Вкладка Comparator используется для настройки проверки определенных различий компаратором.

  1. Для этого урока достаточно убедиться, что настройка Ignore Rules Defined in PCB Only включена, как показано на изображении выше.

Теперь вы готовы запустить валидацию проекта и проверить его на ошибки.


Компиляция проекта для проверки на ошибки

Главная страница: Компиляция и верификация проекта

Компиляция проекта проверяет документы проекта на ошибки графических и электрических правил и предоставляет информацию обо всех предупреждениях и ошибках в панели Messages. Вы настроили эти правила на вкладках Error Checking и Connection Matrix диалогового окна Project Options , поэтому вы теперь готовы провести проверку проекта.

Для компиляции проекта и его проверки на ошибки выберите команду Project » Validate PCB Project Multivibrator.PrjPcb из главного меню.

Используйте панель Messages, чтобы найти и устранить предупреждения и ошибки проекта; дважды щелкните ЛКМ по предупреждению/ошибке, чтобы перейти к соответствующему объекту.
Используйте панель Messages, чтобы найти и устранить предупреждения и ошибки проекта; дважды щелкните ЛКМ по предупреждению/ошибке, чтобы перейти к соответствующему объекту.

  1. Чтобы скомпилировать проект мультивибратора, выберите команду Project » Validate PCB Project Multivibrator.PrjPcb из главного меню.
  2. После компиляции проекта все ошибки и предупреждения будут показаны в панели Messages. Панель будет открыта автоматически только при обнаружении ошибок (т.е. если будут найдены только предупреждения, панель не будет открыта автоматически). Чтобы открыть панель вручную, нажмите кнопку в нижней правой части окна и выберите пункт Messages из открывшегося меню.
  3. Если схема была сформирована корректно, панель Messages не должна содержать ошибок. Единственным сообщением здесь должно быть Compile successful, no errors found (Компиляция завершена успешно, ошибок не найдено). Если есть ошибки, проработайте каждую из них, проверьте схему и убедитесь, что все соединения корректны.

Теперь мы намеренно внесем ошибку в схему и скомпилируем проект повторно:

  1. Щелкните ЛКМ по вкладке Multivibrator.SchDoc в верхней части рабочей области, чтобы убедиться, что лист схемы является активным документом.
  2. Щелкните ЛКМ по проводу, соединяющему R1 и базу Q1. На концах провода появятся ручки управления, а вдоль провода будет отображена пунктирная линия, что означает, что провод выделен. Нажмите клавишу Delete, чтобы удалить провод.
  3. Скомпилируйте проект повторно (Project » Validate PCB Project Multivibrator.PrjPcb), чтобы проверить его на ошибки. В панели Messages будет отображено сообщение об ошибке, которое говорит о том, что в схеме есть неподключенные выводы.
  4. Панель Messages разделена на две горизонтальные области, как показано на изображении выше. В верхней области отображается список всех сообщений, которые можно сохранять, копировать, удалять и от которых можно переходить к соответствующим объектам с помощью контекстного меню. В нижней области показана подробная информация об ошибке/предупреждении, которое выделено в верхней области панели.
  5. При двойном щелчке ЛКМ по ошибке или предупреждению в какой-либо из этих областей панели Messages объект с ошибкой будет отображен на схеме.
  6. При наведении курсора на объект с ошибкой (не на волнистую линию) появится сообщение с описанием ошибки.

Перед тем, как завершить этот раздел урока, исправим эту ошибку на схеме.

  1. Сделайте лист схемы активным документом.
  2. Отмените удаление (Ctrl+Z), чтобы вернуть провод.
  3. Чтобы убедиться, что ошибок больше нет, скомпилируйте проект повторно (Project » Validate PCB Project Multivibrator.PrjPcb); в панели Messages больше не должны отображаться ошибки.
  4. Сохраните схему и файл проекта.

При двойном щелчке ЛКМ по ошибке в панели Messages:

  • Масштаб схемы будет изменен, чтобы приблизить вид и отобразить объект с ошибкой. Точность приближения настраивается с помощью верхнего ползунка в области Highlight Methods страницы System — Navigation диалогового окна Preferences.
  • Вся схема, кроме объекта с ошибкой, будет затенена. Степень затенения управляется уровнем Dimming, который настраивается с помощью нижнего ползунка в области Highlight Methods страницы System — Navigation диалогового окна Preferences. Щелкните ЛКМ в любом месте схемы, чтобы сбросить затенение.

  • Чтобы удалить все сообщения из панели Messages, щелкните ПКМ в панели и выберите команду Clear All.

Формирование схемы на этом завершено. Пора создавать плату!


Создание новой платы

Перед тем, как передавать данные проекта из редактора схем в редактор плат, нужно создать пустой документ платы, задать ему имя и сохранить его как часть проекта.

Пустой документ платы был добавлен в проект и сохранен, после чего был сохранен проект.
Пустой документ платы был добавлен в проект и сохранен, после чего был сохранен проект.

  1. Новую плату можно добавить в проект с помощью контекстного меню панели Projects. Выберите команду Add New to Project » PCB.

    Добавление новой платы в проект.Добавление новой платы в проект.

  2. Плата появится в области исходных документов в панели, как показано на большем изображении выше. Щелкните ПКМ по иконке платы в панели Projects, выберите команду Save As и задайте название платы Multivibrator. В диалоговом окне Save As не нужно вводить расширение файла, оно будет добавлено автоматически.
  3. Добавление документа платы изменяет проект, поэтому также сохраните проект (щелчок ПКМ по названию файла проекта в панели Projects и выбор команды Save).

Настройка формы и расположения платы

Главная страница: Плата

Перед передачей данных проекта из редактора схем необходимо выполнить ряд настроек пустой платы, в том числе:

Задача Процесс
Задать начало координат В редакторе плат есть два начала координат: абсолютное, которое является левым нижним углом рабочего пространства, и относительное, задаваемое пользователем, которое используется для определения положения объектов в активном рабочем пространстве – координаты, которые отображаются в строке состояния, отсчитываются относительно этого начала координат. Как правило, относительное начало координат задают в левом нижнем углу платы. Выберите команду Edit » Origin » Set, чтобы задать относительное начало координат; используйте команду Reset, чтобы сбросить его в абсолютное начало координат.
Задайте британскую или метрическую систему единиц измерения Текущие координаты X / Y и сетка рабочего пространства отображены в строке состояния, которая находится под редактором. В этом уроке будут использоваться метрические единицы измерения. Для переключения между британской и метрической системами единиц измерения нажмите клавишу Q либо выберите команду View » Toggle Units из главного меню.
Выберите подходящую сетку привязки Вы могли заметить, что текущей сетке привязки задано значение 0.127mm, которая является дюймовой сеткой по умолчанию 5 милов, преобразованной в миллиметры. Чтобы в любой момент изменить сетку привязки, нажмите клавишу G, чтобы открыть меню Snap Grid, в котором вы можете выбрать значение в милах или миллиметрах. Обратите внимание на показанные здесь сочетания клавиш; используйте сочетание Ctrl+Shift+G, чтобы открыть диалоговое окно Snap Grid, которое удобно использовать при необходимости ввода определенного значения. Другим полезным сочетанием является Ctrl+G, которое открывает редактор Cartesian Grid, где вы можете изменить отображение сетки с точек на линии, а также задать цвет сетки. Более подробно про сетки будет сказано далее в этом уроке.
Измените форму платы Форма платы отображена черной областью с сеткой. Размером новой платы по умолчанию является 6×4 дюйма; плата в этом уроке будет 30 мм x 30 мм. Подробнее о процессе изменения формы платы сказано ниже.
Настройка слоев Помимо проводящих, или электрических слоев доступны механические слои общего назначения и специальные слои, такие как маркировка компонентов (шелкография), паяльная маска, паяльная паста и т.п. Электрические и прочие слои будут настроены ниже.
  • В любой момент нажмите Ctrl+PageDown, чтобы выбрать масштаб для отображения всей платы.
  • Изменяйте масштаб с помощью:
    • PageUp / PageDown
    • Ctrl + Вращение колеса мыши
    • Перемещение мыши при зажатой ПКМ и клавише Ctrl
  1. В системе используется два начала координат: абсолютное, которое является левым нижним углом рабочего пространства, и относительное, задаваемое пользователем, которое используется для определения положения в активном рабочем пространстве – координаты, которые отображаются в строке состояния, отсчитываются относительно этого начала координат. Перед тем, как задавать начало координат, приблизьте вид к нижнему левому углу платы так, чтобы легко видеть сетку. Для этого наведите курсор на левый нижний угол платы и нажимайте PgUp, пока грубая и точная сетки не станут видны, как показано на изображении ниже.
  2. Чтобы задать относительное начало координат, выберите команду Edit » Origin » Set, затем наведите курсор на левый нижний угол платы и щелкните ЛКМ для размещения.

    Выберите команду, наведите курсор на левый нижний угол платы (изображение слева) и щелкните ЛКМ для размещения начала координат (изображение справа). Выберите команду, наведите курсор на левый нижний угол платы (изображение слева) и щелкните ЛКМ для размещения начала координат (изображение справа).
    Выберите команду, наведите курсор на левый нижний угол платы (изображение слева) и щелкните ЛКМ для размещения начала координат (изображение справа).

  3. Следующим шагом является выбор подходящей сетки привязки, как описано выше. В процессе проектирования, как правило, возникает необходимость изменять сетки, например, использовать крупную сетку для размещения компонентов и точную – для трассировки. В этом примере мы будем использовать метрическую сетку. Крупная сетка 5 мм подойдет для размещения компонентов. Нажмите Ctrl+Shift+G, чтобы открыть диалоговое окно Snap Grid и ввести 5mm, затем нажмите OK, чтобы закрыть диалоговое окно.
  4. Если вместе со значением вы введете единицы измерения, система переключится на метрическую сетку, в чем можно убедиться, посмотрев на строку состояния.
  1. Размер платы по умолчанию 6×4 дюйма. Для этого урока мы будем использовать плату 30 мм x 30 мм.
  2. Чтобы отобразить всю плату, выберите команду View » Fit Board из главного меню (Ctrl+PgDn).
  3. Плата будет отображена на всё рабочее пространство редактора плат. Чтобы изменить размер платы, нужно видеть ее края. Используйте Ctrl + Вращение колеса мыши, чтобы немного отдалить вид, или нажмите клавишу PgDn.
  4. Следующим шагом является изменение формы платы в режиме Board Planning Mode. Выберите команду View » Board Planning Mode из главного меню (клавиша 1). Плата станет отображаться в зеленом цвете.
  5. Теперь вы можете либо переопределить контур платы (начертить его заново), либо отредактировать существующий контур. Для платы квадратной или прямоугольной формы быстрее отредактировать существующий контур. Для этого выберите команду Design » Edit Board Shape из главного меню. Обратите внимание, что эта команда доступна только в режиме Board Planning Mode.

    Для этого проекта быстрее изменить существующий контур платы. Эти команды доступны только в режиме Board Planning Mode.Для этого проекта быстрее изменить существующий контур платы. Эти команды доступны только в режиме Board Planning Mode.

  6. В углах платы и на середине каждого ребра появятся ручки управления, как показано ниже.

    Обратите внимание, что если вы щелкните ЛКМ где-либо вне ручек управления или краев платы, то вы выйдите из режима редактирования формы платы.

  7. Необходимо изменить размер таким образом, чтобы получить плату 30 мм х 30 мм. Видимая грубая сетка равна 25 мм (5-кратная сетка привязки), а видимая точная сетка равна 5 мм – используем ее в качестве руководства. Есть два варианта: переместить верхний край вниз и правый край влево для получения нужного размера либо переместить три угла, сохранив положение угла в начале координат.
  8. Чтобы переместить верхний край вниз, наведите курсор на край (но не на ручку управления). Когда курсор изменит свой вид на обоюдоострую стрелку, зажмите ЛКМ и перетащите край в новое положение так, чтобы в строке состояния отображалось значение координаты Y курсора 30mm, как показано на анимации ниже.
  9. Таким же образом переместите правый край так, чтобы координата X курсора в строке состояния приняла значение 30mm.

    Используйте информацию о текущем положении курсора в нижнем левом части строки состояния, чтобы корректно изменить размер платы.

    Показан вид курсора для изменения размера. Информация о текущем положении курсора поможет вам корректно переместить верхний и правый край платы, чтобы задать ей размер 30 мм x 30 мм.Показан вид курсора для изменения размера. Информация о текущем положении курсора поможет вам корректно переместить верхний и правый край платы, чтобы задать ей размер 30 мм x 30 мм.

  10. Щелкните ЛКМ в любом месте рабочей области, чтобы выйти из режима редактирования формы платы.
  11. Нажмите 2, чтобы вернуться в режим 2D-просмотра.
  12. Теперь когда форма платы определена, можно задать сетку, подходящую для размещения компонентов, например, 1mm. Про сетки будет сказано скоро.
  13. Сохраните плату.

► Узнайте больше об Определении формы платы

Задан размер платы, определены единицы измерения, начало координат и сетка. Слои будут скоро настроены.
Задан размер платы, определены единицы измерения, начало координат и сетка. Слои будут скоро настроены.

Хорошим подходом к определению формы платы, отличающейся от прямоугольника, является размещение набора трасс (и дуг для плат с кривым контуром) на слое Keepout. Помимо того, что эти трассы и дуги будут выступать в роли препятствий для размещения компонентов и трассировки, их можно выбрать (Edit » Select » All on Layer) и использовать для определения формы платы с помощью команды Design » Board Shape » Define from Selected Objects.

► Узнайте больше об Определении формы платы

Настройки свойств по умолчанию

При размещении объекта в редакторе плат система определяет его форму и свойства на основе следующего:

  1. Применяемое правило проектирования – если есть правило, применяемое к этому объекту, свойства объекта будут определяться этим правилом. Например, при изменении слоя в процессе интерактивной трассировки будет автоматически размещено переходное отверстие со значениями размера и диаметра, взятыми из соответствующего правила проектирования Routing Via Style.
  2. Свойства по умолчанию – если нет применяемого правила проектирования, свойства объекта будут определяться свойствами по умолчанию, заданными на странице PCB Editor — Defaults диалогового окна Preferences. Например, если запустить команду Place » Via и если система не знает, какой цепи принадлежит эта цепь, размеры переходного отверстия будут определены настройками по умолчанию.

  1. Для задания свойств по умолчанию для строк позиционных обозначений и комментариев выберите команду Tools » Preferences, чтобы открыть диалоговое окно Preferences, затем перейдите на страницу PCB Editor — Defaults.
  2. В списке примитивов выберите Designator, чтобы отобразить его свойства по умолчанию. Здесь убедитесь, что:
    • Опции Autoposition задано значение Left-Above. Это положение по умолчанию будет сохраняться при повороте компонента. Изменить положение строки можно в любой момент в процессе проектирования.
    • Опции Font Type задано значение TrueType, а шрифт Font задан Arial. Шрифты типа Stroke подходят для файлов Gerber, которые формирует система. TrueType дает доступ ко всем шрифтам на компьютере, но этот шрифт должен быть встроен в файл платы, если она будет открываться на компьютере, где этот шрифт не установлен (страница PCB Editor — True Type Fonts диалогового окна Preferences).
    • Опции Text Height задано значение 1.5mm для этого урока.
  3. В списке примитивов выберите Comment и убедитесь, что:
    • Опции Autoposition задано значение Left-Below.
    • Опции Font Type задано значение TrueType, а шрифт Font задан Arial.
    • Опции Text Height задано значение 1.5mm для этого урока.
    • Комментарий скрыт ( ). Эта общая настройка по умолчанию; строку комментария компонента можно отобразить при необходимости в процессе проектирования.
  4. Нажмите OK, чтобы закрыть диалоговое окно.

Передача проектных данных

Главная страница: Работа между схемой и платой

Передача проектных данных между редактором схем и редактором плат осуществляется напрямую, без создания промежуточного файла списка соединений. Выберите команду Design » Update PCB Document Multivibrator.PcbDoc в редакторе схем или команду Design » Import Changes from Multivibrator.PrjPcb в редакторе плат.

При запуске любой из этих команд будет создан перечень Engineering Change Order, где приведено следующее:

  • Список всех компонентов, используемых в проекте, и необходимые для них посадочные места. При выполнении ECO система пытается найти каждое посадочное место и разместить его в рабочей области платы. Если посадочное место не доступно, происходит ошибка. Место поиска посадочных мест системой зависит от того, как компонент был создан (управляемый или неуправляемый); для неуправляемых компонентов – доступна ли в данный момент библиотека посадочных мест. Поскольку все компоненты размещены из панели Manufacturer Part Search, система может вернуться к источнику и извлечь каждое посадочное место.
  • Список всех созданных цепей (соединенные выводы компонентов). При выполнении ECO система добавит каждую цепь в плату, затем попытается добавить им выводы, которые этим цепям принадлежат. Если вывод невозможно добавить, произойдет ошибка. Обычно это происходит, если посадочное место не было найдено или если контактные площадки посадочного места не соответствуют выводам схемного символа.
  • Дополнительные проектные данные, которые будут переданы, такие как классы цепей и компонентов.

    После выполнения ECO будут размещены компоненты за пределами платы, а также созданы цепи. Обратите внимание, что шрифт позиционных обозначений (и комментариев) был изменен.
    После выполнения ECO будут размещены компоненты за пределами платы, а также созданы цепи. Обратите внимание, что шрифт позиционных обозначений (и комментариев) был изменен.

Перед передачей информации со схемы в пустую плату важно убедиться, что доступны все соответствующие библиотеки для схемных символов и посадочных мест. Поскольку все компоненты были размещены из панели Manufacturer Part Search (источником символов и посадочных мест которой является сервер управляемых данных), то все необходимые для выполнения этого урока компоненты уже доступны.

  1. Сделайте документ схемы Multivibrator.SchDoc активным.
  2. Выберите команду Design » Update PCB Document Multivibrator.PcbDoc из главного меню редактора схем, чтобы открыть диалоговое окно Engineering Change Order.

    Для каждого изменения, которое необходимо сделать с платой для ее синхронизации со схемой, формируется ECO.
    Для каждого изменения, которое необходимо сделать с платой для ее синхронизации со схемой, формируется ECO.

  3. Нажмите Validate Changes для проверки изменений. Если проверка всех изменений пройдена, в поле Status каждого изменения будет отображена зеленая галочка. Если проверка изменений не пройдена, закройте диалоговое окно, откройте панель Messages и устраните все ошибки.
  4. Если проверка всех изменений пройдена, нажмите Execute Changes, чтобы передать все изменения в редактор плат. При выполнении изменения в его поле Done в диалоговом окне появляется галочка.
  5. Когда все изменения будут внесены, за диалоговым окном Engineering Change Order будет открыта плата. Нажмите Close, чтобы закрыть диалоговое окно.
  6. Компоненты будут размещены за пределами платы, готовые к размещению на ней. Перед тем, как начать процесс размещения компонентов, необходимо завершить некоторые этапы, такие как настройка сетки размещения, слоев и правил проектирования.

    Вы можете создать отчет об ECO, нажав кнопку Report Changes.


Настройка отображения слоев

После выполнения всех ECO компоненты и цепи появятся в рабочей области редактора справа от контура платы, как показано на изображении выше. Перед тем как начать размещение компонентов на плате, необходимо задать некоторые настройки рабочей области и платы, такие как слои, сетку и правила проектирования.

Вы смотрите на плату сверху по оси Z. Редактор плат является средой проектирования слоистых структур – объекты, размещенные на сигнальных слоях, станут проводящим материалом при изготовлении платы; строки, размещенные на слоях шелкографии, станут маркировкой на поверхности платы; примечания, размещенные на механических слоях, станут инструкциями к сборке на распечатке чертежа.

Вы проектируете плату, смотря на эту структуру слоев сверху и размещая компоненты на верхней и нижней стороне платы (слои Top Layer / Bottom Layer) и прочие объекты на проводящих слоях, слоях шелкографии, слоях паяльной маски и механических слоях.

Finished PCB, showing layers usedВы проектируете плату, смотря на структуру слоев сверху. Наведите курсор мыши на изображение, чтобы увидеть эту же плату в 3D, вытянутую по оси Z.

Помимо слоев, используемых для изготовления платы, такие как сигнальные слои, экранные слои и слои шелкографии, редактор плат поддерживает ряд прочих неэлектрических слоев. Слои часто группируются следующим образом:

  • Электрические слои – включают в себя 32 сигнальных слоя и 16 внутренних экранных слоев.
  • Слои компонентов – слои, используемые компонентами в проекте, в том числе слои шелкографии, паяльной маски, паяльной пасты. Если объект размещен в посадочном месте компонента на одном из этих слоев в редакторе библиотеки, то при перемещении компонента с верхней стороны платы на нижнюю все объекты на слоях компонентов будут перемещены на соответствующий противоположный слой. Это также относится к объектам на заданных пользователем парах слоев компонентов (пары механических слоев).
  • Механические слои – система поддерживает неограниченное количество механических слоев общего назначения, которые используются для таких задач проектирования, как добавление размеров, сведения об изготовлении, инструкции к сборке и т.д. При необходимости эти слои можно выборочно включать в распечатки и файлы Gerber. Механические слои также можно объединять в пары; парные механические слои ведут себя как слои компонентов и используются для таких задач, как размещение 3D-моделей, точек клейки, частичного золотого покрытия краевых соединителей.
  • Прочие слои – включают в себя слой Keep-Out (используется для определения запретных зон для проводящих слоев), слой Multi-Layer (используется для объектов, которые присутствуют на всех сигнальных слоях, например для контактных площадок и переходных отверстий), слой Drill Drawing (используется для размещения информации о сверловке, например таблицы отверстий) и слой Drill Guide (используется для обозначения мест и размеров сверловки).

Добавление и удаление проводящих слоев осуществляется в менеджере стека слоев, о котором пойдет речь ниже. Включение и настройка всех прочих слоев осуществляется в панели View Configuration.

Отображение слоев – View Configuration

Страница панели: View Configuration

Настройка отображения всех слоев осуществляется в панели View Configuration. Чтобы открыть панель:

View Configuration panel, Layers &amp; Colors tab   View Configuration panel, View Options tab
Две вкладки панели View Configuration

Помимо настроек состояния отображения и цвета панель View Configuration также предоставляет доступ к прочим настройкам отображения, в том числе:

  • Настройки цвета и видимости системных цветов, такие как цвет выделения и видимость линий соединения (раздел System Colors).
  • Способ отображения объектов каждого типа (сплошная заливка или эскиз) и их прозрачность (раздел Object Visibility).
  • Различные настройки отображения, такие как отображение начала координат (Origin Marker), названий цепей контактных площадок (Pad Net) и номеров контактных площадок (Pad Numbers) – раздел Additional Options.
  • Степень объектов затенения и маскирования (раздел Mask and Dim Settings).
  • Создание наборов слоев Layer Sets, с помощью которых можно быстро переключаться между видимыми в данный момент слоями с помощью кнопки (раздел Layers).
  • Создание и выбор наборов настроек отображения, которые используются для предварительной конфигурации всех настроек слоев, таких как цвет, видимость, прозрачность объектов и т.д. (раздел General Settings).

Советы по слоям

  • Включенные в данный момент слои отображаются на вкладках вдоль нижней части рабочей области редактора плат. В контекстном меню вкладок содержатся часто используемые команды отображения слоев.
  • В проекте со множеством графических данных может помочь отображение только того слоя, над которым в данный момент идет работа – так называемый режим одного слоя. Для включения/отключения режима одного слоя используйте сочетание Shift+S. Настройка доступных режимов одного слоя осуществляется в области Available Single Layer Modes на странице PCB Editor — Board Insight Display диалогового окна Preferences. Для переключения между доступными режимами одного слоя используйте сочетание Shift+S.
  • Для переключения активного слоя:
    • Щелкните ЛКМ по вкладке слоя в нижней части рабочей области, либо
    • Нажмите + или на цифровой клавиатуре для циклического переключения между всеми слоями, либо
    • Нажмите * на цифровой клавиатуре для циклического переключения между сигнальными слоями, либо
    • Используйте сочетание Ctrl + Shift + Вращение колеса мыши.
  1. Откройте панель View Configuration.
  2. На вкладке Layers and Colors убедитесь, что включена видимость сигнальных слоев Top Layer и Bottom Layer.
  3. Обратите внимание, что в этой панели вы можете управлять отображением слоев паяльной маски и шелкографии, а также системными слоями, такими как сетка и маркеры проверки электрических правил.
  4. Чтобы отображать только необходимую для размещения компонентов и трассировки компонентов слои, отключите отображение механических слоев, слоев паяльной маски, паяльной пасты, а также слоев Drill Guide и Drill Drawing.
  5. Перейдите на вкладку View Options.
  6. Убедитесь, что включены опции Pad Nets и Pad Numbers.

Физические слои и Layer Stack Manager

Главная страница: Определение стека слоев

Определение стека слоев является важным элементом успешного проектирования печатной платы. Трассировка многих современных плат осуществляется уже не как создание набора простых соединений проводящим материалом, а как проектирование элементов цепей, или линий передач.

При проектировании современных быстродействующих плат также необходимо учитывать ряд других аспектов, таких как парность слоев, точное проектирование переходов, возможное применение обратного высверливания, требования к гибкости/жесткости, балансировка меди, симметрия стека и обеспечение соответствия материалов.

Настройка этих аспектов осуществляется в менеджере стека слоев (Layer Stack Manager, LSM). Выберите команду Design » Layer Stack Manager, чтобы открыть его.

  • Менеджер стека слоев открывается как отдельный документ, подобно листу схемы, плате или документу другого типа.
  • Менеджер стека слоев можно оставить открытым при работе над платой, что позволяет переключаться между платой и LSM. Поддерживаются все стандартные возможности взаимодействия со страницей, такие как разделение экрана и открытие на отдельном мониторе.
  • Чтобы изменения были отражены в плате, в Layer Stack Manager необходимо выполнить сохранение (Save).

Менеджер стека слоев используется для решения следующих задач:

  • Добавление, удаление и определение порядка сигнальных, экранных и диэлектрических слоев.
  • Задание свойств материала из библиотеки материалов или вручную.
  • Добавление пользовательских параметров в стек слоев.
  • Настройка допустимых типов переходов, определяющие, какие слои могут быть соединены переходными отверстиями.
  • Настройка профилей импедансов для использования трассировки с контролируемым импедансом.
  • Настройка расширенных возможностей, в том числе: гибкие и жесткие стеки слоев, печатная электроника и обратное высверливание.

В этом уроке используется простой проект, трассировку которого можно выполнить на одной стороне платы либо на двух сторонах, со сквозными переходами. На изображении ниже для каждого слоя выбран материал.

Свойства физических слоев определяются в менеджере стека слоев. Для настройки допустимых типов переходов выберите вкладку Via Types в нижней части менеджера стека слоев.
Свойства физических слоев определяются в менеджере стека слоев. Для настройки допустимых типов переходов выберите вкладку Via Types в нижней части менеджера стека слоев.

  1. Откройте Layer Stack Manager. Стек новой платы по умолчанию содержит в себе: диэлектрическое основание, два проводящих слоя, верхний и нижний слои паяльной маски (защитное покрытие) и маркировки (шелкография), как показано на изображении выше.
  2. Чтобы упростить управление слоями, включите опцию Stack Symmetry в панели Properties (как показано на изображении выше). Когда он включен, слои будут добавляться в соответствующие пары симметрично относительно центрального диэлектрического слоя.
  3. Добавление новых слоев (выше или ниже текущего слоя) осуществляется с помощью контекстного меню или подменю Edit » Add Layer.
  4. Для просмотра библиотеки материалов выберите команду Tools » Material Library.
  5. Для применения материала к определенному слою (или паре слоев, если включена симметричность) нажмите в ячейке Material нужного слоя, и будет открыто диалоговое окно Select Material (показано на изображении выше).
  6. Используйте изображение выше как руководство для выбора подходящих материалов для слоев типа Solder Mask, Signal и Core. Обратите внимание, что слой основания Core был выбран для задания подходящей толщины готовой платы. Значения можно вводить непосредственно в Layer Stack Manager.
  7. Нажмите по вкладке Via Types в нижней части Layer Stack Manager и посмотрите, что определен сквозной переход (Thru).
  8. По окончании изучения настроек стека слоев сохраните стекап с помощью команды Save, затем щелкните ПКМ по вкладке Layer Stack Manager и закройте страницу.

Менеджер стека слоев поддерживает отмену/возврат действий; используйте Ctrl+Z для отмены предыдущего изменения и Ctrl+Y для возврата.


Настройка сетки

Следующим этапом является выбор сетки, которая подходит для трассировки и размещения компонентов. Все объекты, размещаемые в рабочей области редактора плат, размещаются в текущей сетке привязки.

Дюймовая или метрическая сетка?

Обычно выбирается сетка, которая подходит под использование выбранного шага выводов и технологию трассировки, т.е. насколько широкими должны быть трассы и какой должен быть зазор между ними. Основной идеей является использование максимально широких трасс и зазоров для снижения затрат на изготовление и повышения надежности. Конечно, выбор трасс/зазоров зависит от необходимой плотности размещения компонентов и трассировки.

С развитием технологий компоненты и их выводы, а также расстояния между выводами, значительно уменьшаются. Размеры компонентов и расстояния между выводами перешли от преимущественно дюймовых со штыревыми выводами до метрических размеров и использования поверхностного монтажа. Если вы проектируете новую плату, то лучше работать в метрической системе, если нет иных веских причин, например, размещение платы в существующее изделие, разработанное в британской системе единиц. Почему? Старые дюймовые компоненты имеют большие выводы с большим расстоянием между ними. С другой стороны, малые устройства для поверхностного монтажа созданы с использованием метрической системы – они могут обеспечить корректную работу и надежность изготовленного/собранного/запущенного изделия. Также редактор плат позволяет легко управляться с выводами вне сетки, так что работа с дюймовыми компонентами на метрической плате не является чем-то обременительным.

Подходящие настройки сетки

Для простых проектов, подобных этому, следует использовать следующие настройки сетки и правил проектирования:

Настройка Значение Где находится
Ширина трасс 0,25 мм Правило проектирования Routing Width
Зазор 0,25 мм Правило проектирования Electrical Clearance
Сетка платы 5 мм Редактор декартовой сетки
Сетка размещения компонентов 1 мм Редактор декартовой сетки
Сетка трассировки 0,25 мм Редактор декартовой сетки
Размер перехода 1 мм Правило проектирования Routing Via Style
Диаметр перехода 0,6 мм Правило проектирования Routing Via Style

Может показаться, что использование очень малой сетки для трассировки упростит размещение трасс, но это не является хорошим подходом. Почему? Потому что смыслом определения сетки, которая равна сумме или доле суммы трасса+зазор, является размещение трасс таким образом, чтобы они не занимали потенциальное пространство для трассировки зря, что может произойти при использовании очень малой сетки.

  • Выберите команду View » Toggle Units (или нажмите клавишу Q) для переключения системы единиц измерения рабочего пространства между метрической и британской.
  • Когда активно диалоговое окно или панель, нажмите Ctrl+Q, чтобы переключить единицы измерения в этом диалоговом окне или в панели.
  • Независимо от текущих единиц измерения, вы можете ввести в диалоговом окне или панели значение с единицами измерения, чтобы использовать необходимое значение.

Поддержка множества сеток

  • Altium Designer позволяет определять множество сеток привязки. Поддерживается два типа сеток: Cartesian (декартовая, традиционная горизонтальная/вертикальная сетка) и Polar (сетка в полярных координатах).
  • Помимо типа сеток, вы можете задавать область действия сетки. Обратите внимание, что сетка по умолчанию всегда применяется ко всей рабочей области, даже несмотря на то, что она отображается только в пределах контура платы.
  • Поскольку в отдельный момент времени может использоваться только одна сетка, у сеток есть приоритеты, которые используются, когда области нескольких сеток перекрываются. Также можно задать, будет ли сетка работать для всех объектов, только для компонентов или только для объектов, которые не являются компонентами.
  • Создание и управление сетками осуществляется в разделе Grid Manager панели Properties. Используйте кнопки в панели для добавления, редактирования и удаления сеток.

В этом уроке будет использоваться только сетка по умолчанию.

В разделе Grid Manager можно настроить множество сеток; на изображении справа показаны три сетки (щелкните ЛКМ для увеличения). В разделе Grid Manager можно настроить множество сеток; на изображении справа показаны три сетки (щелкните ЛКМ для увеличения).
В разделе Grid Manager можно настроить множество сеток; на изображении справа показаны три сетки (щелкните ЛКМ для увеличения).

Настройка сетки привязки

Связанные страницы: Grid Manager, Cartesian Grid Editor, Polar Grid Editor

Чтобы задать значение сетки привязки, необходимое для этого урока, вы можете:

  • Нажать клавишу G, чтобы открыть меню Snap Grid, где вы можете выбрать значение в милах или в миллиметрах (обратите внимание на сочетания клавиш, показанные в меню).
  • Нажать сочетание клавиш Ctrl+Shift+G, чтобы открыть диалоговое окно Snap Grid, где вы можете ввести новое значение сетки.
  • Нажать сочетание клавиш Ctrl+G, чтобы открыть диалоговое окно Cartesian Grid Editor, где вы можете ввести значение сетки, а также задать настройки отображения сетки (показано ниже).
  • Отредактировать сетку в разделе Grid Manager панели Properties.

Задайте сетку привязки величиной 1 мм для размещения компонентов.
Задайте сетку привязки величиной 1 мм для размещения компонентов.

  1. Нажмите сочетание Ctrl+G, чтобы открыть диалоговое окно Cartesian Grid Editor.
  2. Введите значение 1mm в поле Step X. Поскольку поля X и Y связаны, нет необходимости задавать значение Step Y.
  3. Чтобы сетка была видна при большом масштабе, задайте опции Multiplier значение 5x Grid Step; чтобы проще различать грубую и точную сетки, задайте отображение сетки Fine отображение светлыми точками (Dots), а сетку Coarse – темными линиями (Lines).
  4. Нажмите OK, чтобы закрыть диалоговое окно.

Настройка правил проектирования

Главная страница: Справочник по правилам проектирования

Редактор печатных плат работает согласно правилам – это значит, что при выполнении действий, которые приводят к изменениям в конструкции, таких как размещение трасс, перемещение компонентов или проведение автотрассировки, система отслеживает эти действия и проверяет, соответствует ли конструкция правилам проектирования. Если нет, то место ошибки сразу помечается как нарушение. Настройка правил проектирования перед работой над платой позволяет сосредоточиться над проектными задачами, с уверенностью в том, что об ошибках вам будет немедленно сообщено.

Настройка правил проектирования осуществляется в диалоговом окне PCB Rules and Constraints Editor, которое показано ниже (Design » Rules). Правила разделены по типам, которые в свою очередь сгруппированы в десять категорий.

Все требования к конструкции платы настраиваются в качестве правил/ограничений в  PCB Rules and Constraints Editor.
Все требования к конструкции платы настраиваются в качестве правил/ограничений в PCB Rules and Constraints Editor.

Определение ограничений для ширины трассировки

Страница правила проектирования: Width

Ширина трассировки управляется применяемым правилом проектирования Routing Width, выбор которого система осуществляет автоматически при запуске команды Interactive Routing и щелчке ЛКМ по цепи.

Когда вы настраиваете правила, базовым подходом является задание правила с низшим приоритетом для наибольшего количества цепей и последующее добавление правил более высокого приоритета для цепей со специальными требованиями к ширине трасс, таким как цепи питания. Если цепь попадает в область действия нескольких правил, то это не является ошибкой, поскольку система всегда ищет и применяет правило с наивысшим приоритетом.

В нашем уроке проект включает в себя несколько сигнальных цепей и две цепи питания. Правило для ширины по умолчанию можно настроить на использование ширины 0.25mm для сигнальных цепей. Это правило будет применяться ко всем цепям в проекте, поскольку его область действия задана All. Хотя под эту область действия также попадают цепи питания, более специфичные требования к ним можно указать, добавив второе правило с более высоким приоритетом и областью действия InNet('12V') or InNet('GND'). На изображении ниже показана сводка по этим двум правилам, подробная информация приведена в сворачиваемых областях ниже.

Определены два правила проектирования Routing Width. Правило с низшим приоритетом применяется ко всем цепям, правило с высшим приоритетом – к объектам, которые принадлежат цепи 12V или GND.
Определены два правила проектирования Routing Width. Правило с низшим приоритетом применяется ко всем цепям, правило с высшим приоритетом – к объектам, которые принадлежат цепи 12V или GND.

  • Правила проектирования Routing Width и Routing Via Style включают в себя минимальные (Min), максимальные (Max) и предпочтительные (Preferred) настройки. Используйте их, если вам необходима некоторая гибкость в процессе трассировки, например, если необходимо делать сужения трасс или использовать меньшие отверстия на более плотных участках платы. Это можно делать на лету в процессе трассировки с помощью клавиши 3 для переключения между значениями ширины трассировки и клавиши 4 для переключения между размерами переходных отверстий. Есть и другие способы редактирования ширины трасс и переходов в процессе трассировки, о которых сказано далее в разделе трассировки.
  • Старайтесь не использовать настройки Min и Max для определения единого правила для всех размеров, которые необходимы в конструкции. Действуя таким образом, вы отказываетесь от возможности системы отслеживать, что размер каждого объекта соответствует его задачам.
  1. Когда документ платы является активным, откройте диалоговое окно PCB Rules and Constraints Editor.
  2. Все категории правил отображаются в папке Design Rules в левой части диалогового окна. Дважды щелкните ЛКМ по категории Routing, чтобы раскрыть ее и увидеть соответствующие правила проектирования, затем дважды щелкните ЛКМ по элементу Width, чтобы увидеть заданные правила для ширины.
  3. Щелкните ЛКМ один раз по существующему правилу Width, чтобы выделить его. В правой части диалогового окна будут отображены настройки этого правила, в том числе поле Where The Object Matches вверху (так называемая область действия правила – те объекты, к которым будет применяться правило) и ограничения Constraints правила внизу.
  4. Поскольку это правило нужно применить к большинству цепей в проекте (к сигнальным цепям), убедитесь, что полю Where The Object Matches задано значение All. Для цепей питания будет добавлено дополнительное правило.
  5. Отредактируйте настройки правила Width следующим образом: Min Width = 0.2mm, Preferred Width = 0.25mm, Max Width = 0.25mm. Обратите внимание, что в настройках в нижней части диалогового окна отображены отдельные слои. Вы можете задавать требования в зависимости от слоя.
  6. Правило теперь задано. Нажмите Apply, чтобы сохранить его и оставить диалоговое окно открытым.

    Правило Routing Width по умолчанию было изменено.
    Правило Routing Width по умолчанию было изменено.

  1. Следующим шагом является добавление еще одного правила проектирования для определения ширины трасс цепей питания. Чтобы добавить и настроить это правило, откройте диалоговое окно PCB Rules and Constraints Editor.
  2. Когда в дереве правил в левой части диалогового окна выбрано существующее правило Width, щелкните ПКМ и выберите команду New Rule, чтобы добавить новое правило Width, как показано на анимации ниже.
  3. Появится новое правило с именем Width_1. Щелкните ЛКМ по новому правилу в дереве, чтобы настроить его свойства.
  4. Щелкните ЛКМ в поле Name в правой части и введите в поле название Width_Power.
  5. Щелкните ЛКМ по выпадающему списку Where The Object Matches и выберите пункт Custom Query. В диалоговом окне появится текстовое поле для ввода пользовательского запроса.
  6. Нажмите кнопку Query Builder, чтобы открыть диалоговое окно Query Builder, затем определите здесь область действия правила: InNet('12V') or InNet('GND').
    • Щелкните ЛКМ по тексту Add first condition, выберите Belongs to Net и задайте опции Condition Value значение 12V.
    • Щелкните ЛКМ по тексту Add another condition, выберите Belongs to Net и задайте опции Condition Value значение GND.
    • Между двумя условиями появится оператор AND (логическое И). Щелкните ЛКМ по нему и выберите оператор OR (логическое ИЛИ) из выпадающего списка.
    • Нажмите кнопку OK, чтобы сохранить запрос и вернуться в диалоговое окно правил.
  7. Финальным этапом является задание ограничений правила. Задайте опциям Min Width / Preferred Width / Max Width значения 0.25 / 0.5 / 0.5, чтобы трассировать цепи питания в диапазоне ширины от 0,25 мм до 0,5 мм, как показано ниже.

    Это правило Width применяется к цепям питания. Это правило Width применяется к цепям питания.

  8. Нажмите Apply, чтобы сохранить правило и оставить диалоговое окно открытым.

Когда задано множество правил одного типа, редактор плат использует приоритеты для применения правила с наивысшим приоритетом.

Когда вы добавляете правила:

  • При добавлении нового правила ему задается наивысший приоритет.
  • При дублировании правила ему задается приоритет ниже исходного правила.

Нажмите кнопку Priorities в нижней части диалогового окна, чтобы изменить приоритеты.

Определение ограничений для электрических зазоров

Страница правила проектирования: Clearance

Следующим этапом является определение того, насколько близко друг к другу могут находиться электрические объекты, которые принадлежат различным цепям.

Это требование управляется ограничением Electrical Clearance. Для этого урока подойдет зазор 0.25mm между всеми объектами.

Обратите внимание, что значение, введенное в поле Minimum Clearance будет автоматически применено ко всем полям в таблице в нижней части диалогового окна. Редактировать эту таблицу нужно только при необходимости определения различных зазоров для объектов разных типов.

Определено ограничение зазора между объектами. Переключитесь в режим Advanced, чтобы отобразить все типы объектов.Определено ограничение зазора между объектами. Переключитесь в режим Advanced, чтобы отобразить все типы объектов.

Обратите внимание, что область действия правила задается двумя полями: Where the First Object Matches и Where the Second Object Matches, поскольку это бинарное правило, которое применяется между объектами.

  1. Раскройте категорию Electrical в дереве правил, затем разверните тип правила Clearance.
  2. Щелкните ЛКМ для выбора существующего правила Clearance. Обратите внимание, что у этого правила есть два поля Full Query, поскольку это бинарное правило. Система проверяет каждый объект, соответствующий настройке Where the First Object Matches, а затем проверяет объекты, соответствующие настройке Where the Second Object Matches, чтобы убедиться, что эти объекты соответствуют заданным ограничениям Constraints. Для этого правила мы зададим единое правило между всеми объектами (All).
  3. В области Constraints диалогового окна задайте опции Minimum Clearance значение 0.25mm, как показано на изображении выше.
  4. Нажмите Apply, чтобы сохранить правило и оставить диалоговое окно открытым.

Определение ограничений для переходных отверстий

Страница правила проектирования: Routing Via Style

При изменении слоя в процессе трассировки автоматически добавляется переходное отверстие. В этом случае свойства переходного отверстия определяются применяемым правилом проектирования Routing Via Style. При размещении переходного отверстия из меню Place его свойства определяются настройками примитива по умолчанию. Для этого урока мы настроим правило проектирования Routing Via Style.

Единое переходное отверстие для всех цепей в проекте.Единое переходное отверстие для всех цепей в проекте.

  1. Раскройте категорию Design Rules в дереве правил и выберите правило проектирования RoutingVias по умолчанию.
  2. Поскольку, скорее всего, цепи питания смогут быть растрассированы на одной стороне платы, нет необходимости определять отдельные ограничения переходных отверстий для сигнальных цепей и для цепей питания. Отредактируйте свойства правила в соответствии с предложенными ранее значениями, т.е. Via Diameter = 1mm и Via Hole Size = 0.6mm. Всем полям (Min, Max, Preferred) задайте одинаковые значения.
  3. Нажмите OK, чтобы закрыть диалоговое окно PCB Rules and Constraints Editor.
  4. Сохраните файл платы.

Существующие нарушения правил проектирования

Вы можете обратить внимание, что контактные площадки транзисторов отображаются с нарушениями. Щелкните ПКМ по нарушению и выберите Violations в контекстном меню, как показано ниже. Здесь указано, что есть:

  • Нарушение ограничения зазора
  • Нарушение между контактной площадкой на слое MultiLayer и контактной площадкой на слое MultiLayer
  • Зазор составляет 0,22 мм, что меньше заданного ограничения 0,25 мм.

Щелкните ПКМ по нарушению, чтобы определить, какое правило нарушено и почему. На этом изображении показана плата в режиме одного слоя с активным слоем Top Layer.
Щелкните ПКМ по нарушению, чтобы определить, какое правило нарушено и почему. На этом изображении показана плата в режиме одного слоя с активным слоем Top Layer.

Об этом нарушении и его устранении будет сказано ниже. Если маркеры нарушений мешают вам, вы можете очистить их с помощью команды Tools » Reset Error Markers. Эта команда только очищает маркеры, но она не скрывает и не удаляет существующие ошибки. Ошибка будет отображена снова при следующем редактировании, которое запустит динамическую проверку правил (например, при перемещении компонента), или при запуске пакетной проверки правил проектирования.

Просмотр правил проектирования

Новая плата по умолчанию, созданная системой, содержит правила, которые не нужны для каждого проекта, а другие правила необходимо настраивать в соответствии с требованиями платы. Поэтому очень важно просматривать правила. Сделать это можно в диалоговом окне PCB Rules and Constraints Editor. Выберите папку Design Rules вверху дерева в левой части, затем просмотрите столбец Attributes всех правил, чтобы быстро найти те значения, которые необходимо отредактировать.

Также плата по умолчанию использует британскую систему единиц. При переключении на миллиметры появится много правил с дробными значениями, например значения правила для вскрытия паяльной маски изменит свое значение с 4 милов на 0,102 мм, а значение правила для минимальной ширины участка паяльной маски изменится со значения по умолчания 10 милов до 0,254 мм. Хотя такие значения, как 0,002 мм, существенно не повлияют на процесс формирования выходной документации, эти значения по умолчанию правил проектирования можно изменить, если они вам не нравятся.

Просмотр правил проектирования. Обратите внимание, что сортировку в столбцах можно изменять при необходимости.Просмотр правил проектирования. Обратите внимание, что сортировку в столбцах можно изменять при необходимости.

Новая плата включает в себя правила проектирования по умолчанию, которые могут быть не нужны для текущего проекта. Например, правила типа Assembly и Fabrication Testpoint, присутствующие в новой плате, не понадобятся для этого проекта.

  1. Откройте диалоговое окно, если оно не открыто PCB Rules and Constraints Editor.
  2. Щелкните ЛКМ по категории Testpoint и отключите четыре правила типа Testpoint (снимите флажки в столбце Enabled). Если этого не сделать, далее по уроку появятся нарушения тест-пойнтов.

Правила проектирования также можно экспортировать и сохранить в файл .RUL, а затем добавлять их в будущие проекты. Для этого щелкните ПКМ в дереве правил в левой части диалогового окна PCB Rules and Constraint Editor и выберите в контекстном меню команду Export Rules, чтобы открыть диалоговое окно Choose Design Rules. С помощью стандартных методов выделения Windows выберите правила, которые вы хотите экспортировать, и нажмите OK для их экспорта.


Размещение компонентов на плате

Говорят, что конструирование печатных узлов на 90% состоит из размещения компонентов и на 10% – из трассировки. Можно поспорить об этом соотношении, но как правило, все сходятся во мнении, что качественное размещение компонентов является самым важным аспектом конструирования. Помните, что может понадобиться скорректировать размещение компонентов в процессе трассировки.

Настройки размещения компонентов

При перемещении компонента с помощью перетаскивания с зажатой ЛКМ привязка к компоненту будет осуществляться к его точке отсчета, если включена опция Snap to Center. Точкой отсчета компонента является его координата (0,0), заданная при создании компонента в редакторе библиотек.

Опция Smart Component Snap позволяет переопределить эту привязку к центру и вместо этого привязываться к ближайшей контактной площадке, что полезно использовать при необходимости размещения определенной контактной площадки в определенном месте.

Включите опцию Snap To Center, чтобы всегда привязываться к точке отсчета компонента. Опция Smart Component Snap полезен для выравнивания по определенным контактным площадкам.
Включите опцию Snap To Center, чтобы всегда привязываться к точке отсчета компонента. Опция Smart Component Snap полезен для выравнивания по определенным контактным площадкам.

  1. Щелкните ЛКМ по иконке , которая находится в верхней правой части приложения, чтобы открыть диалоговое окно Preferences.
  2. Откройте страницу PCB Editor — General диалогового окна Preferences. В разделе Editing Options убедитесь, что опция Snap To Center включена, чтобы при перетаскивании компонента курсор был привязан к его точке отсчета.
  3. Обратите внимание на опцию Smart Component Snap. Если она включена, вы можете заставить систему привязываться к центру контактной площадки при перетаскивании, если нужная контактная площадка компонента располагается ближе к курсору, чем точка отсчета компонента. Это очень полезно при необходимости размещения определенной контактной площадки в определенном узле сетки. Но, тем не менее, при работе с маленькими компонентами для поверхностного монтажа может быть сложнее «схватить» их за точку отсчета.

Размещение компонентов

Теперь вы можете разместить компоненты в подходящих местах на плате.

Для перемещения компонента вы можете воспользоваться любым из следующих способов:

  • Зажмите ЛКМ на компоненте, чтобы переместить его в нужное место. Используйте клавишу Пробел для поворота компонента, затем отпустите ЛКМ для размещения компонента.
  • Запустите команду Edit » Move » Component, затем щелкните ЛКМ по компоненту, переместите его в нужное место, затем щелкните ЛКМ еще раз для его размещения. По окончании щелкните ПКМ, чтобы выйти из команды Move Component.

Оптимизация линий соединения происходит автоматически при перемещении компонента. Используйте это в качестве помощи при вращении и размещении компонентов для уменьшения количество пересечений линий соединений.

Размещенные на плате компоненты.
Размещенные на плате компоненты.

  1. Отобразите плату и компоненты. Это можно сделать, отдалив вид (PgDn), чтобы стали видны плата и компоненты, затем выберите команду View » View Area, щелкните ЛКМ в левом верхнем и нижнем правом углу той области, которую хотите отобразить.
  2. Компоненты будут размещены в активной сетки привязки. Для такого простого проекта, как этот, нет специальных требований к используемой сетке для размещения. Вы как конструктор решаете, какая сетка подходит для размещения компонентов. Чтобы упростить процесс размещения компонентов, вы можете использовать крупную сетку, например 1 мм. Посмотрите в строку состояния, чтобы убедиться, что сетка Snap Grid задана 1mm; нажмите Ctrl+Shift+G для изменения сетки, если необходимо.
  3. Компоненты в этом уроке можно разместить, как показано на изображении выше. Для размещения соединителя P1 наведите курсор в центр контура соединителя и зажмите ЛКМ. Курсор изменит вид на перекрестие и перейдет к точке отсчета компонента (или к центру ближайшей контактной площадки, если вы включили опцию Smart Component Snap). Перемещайте мышь с зажатой ЛКМ для перетаскивания компонента.
  4. Нажмите Пробел для поворота компонента, если необходимо, и разместите посадочное место в левой части печатной платы, как показано на изображении выше.
  5. Когда соединитель находится там, где нужно, отпустите ЛКМ, чтобы разместить компонент. Обратите внимание, как линии соединения перемещаются за компонентом.
  6. Переместите остальные компоненты, руководствуясь изображением выше. Используйте клавишу Пробел для поворота компонентов (с шагом 90º против часовой стрелки) при их перетаскивании, чтобы линии соединения располагались, как показано на изображении.
  7. Таким же образом можно изменять положение текста компонентов; перетащите текст с зажатой ЛКМ и нажмите Пробел для его поворота.
  8. Редактор плат также включает в себя инструменты интерактивного размещения, которые можно использовать для выравнивания и задания расстояния между четырьмя резисторами.
  9. Зажмите клавишу Shift, щелкните ЛКМ по каждому из четырех резисторов для их выделения или выделите их рамкой. Вокруг каждого из выделенных компонентов появится затененная область. Цвет Selection выделения определяется в разделе System Colors панели View Configuration.
  10. Щелкните ПКМ по любому из выделенных компонентов и выберите команду Align » Align, чтобы открыть диалоговое окно Align Objects.
  11. Выберите Space Equally в области Horizontal и Bottom в области Vertical, затем нажмите OK, чтобы применить эти изменения. Четыре резистора теперь выровнены (по самому нижнему из них) и расположены на одинаковых расстояниях друг от друга.

    Выделите, затем выровняйте и расставьте резисторы. Выделите, затем выровняйте и расставьте резисторы. Выделите, затем выровняйте и расставьте резисторы.
    Выделите, затем выровняйте и расставьте резисторы.

  12. Щелкните ЛКМ где-нибудь в рабочей области, чтобы снять выделение с резисторов. При необходимости вы так же можете выровнять конденсаторы и транзисторы, хотя это может не понадобиться при работе в крупной сетке.
  13. Сохраните файл платы.
  • Выделенные объекты также можно перемещать с помощью клавиатуры, а не мыши. Для этого зажмите клавишу Ctrl, и с каждым нажатием по Клавише со стрелкой выделенные объекты будут перемещаться на 1 шаг стеки в соответствующем направлении. Зажмите дополнительно клавишу Shift для перемещения выделенных объектов на 10 шагов сетки.
  • При перемещении компонента с помощью мыши можно ограничить перемещение по оси, зажав клавишу Alt. Компонент будет пытаться сохранить горизонтальную ось (при перемещении по горизонтали) или вертикальную ось (при перемещении по вертикали). Чтобы переопределить это поведение, перемещайте компонент дальше от оси или отпустите клавишу Alt.

Компоненты размещены, пора приступать к трассировке!


Интерактивная трассировка платы

Главная страница: Интерактивная трассировка

Трассировка является процессом размещения на плате трасс цепей и переходных отверстий для соединения выводов компонентов друг с другом. Редактор плат упрощает эту работу благодаря своим развитым инструментам интерактивной трассировки, а также технологии ActiveRoute, которая позволяет провести оптимальную трассировку выбранных соединений в один клик.

В этом разделе урока вы в интерактивном режиме растрассируете плату, разместив все трассы на верхнем слое. Инструменты интерактивной трассировки, такие как размещение трасс с помощью курсора, трассировка соединения в один щелчок ЛКМ, расталкивание препятствий, автоматическое следование существующим соединениям, позволяют провести трассировку в интуитивно понятной форме, с максимальной эффективностью и в полном соответствии с применяемыми правилами проектирования.

Подготовка к интерактивной трассировке

Страница настроек Preferences: PCB Editor — Interactive Routing

Перед началом трассировки настройте опции интерактивной трассировки на странице PCB Editor — Interactive Routing диалогового окна Preferences.

Preferences dialog, PCB Editor Interactive Routing optionsНастройки опций интерактивной трассировки

  1. Задайте текущему режиму разрешения конфликтов трассировки Routing Conflict Resolution Current Mode значение Walkaround Obstacles. В процессе трассировки вы можете циклически переключаться между включенными режимами с помощью сочетания клавиш Shift+R.
  2. В области Interactive Routing Options страницы убедитесь, что включены опции Automatically Terminate Routing и Automatically Remove Loops. Первая опция освобождает курсор от текущей трассы при щелчке ЛКМ по целевой контактной площадке для завершения трассы. Вторая опция позволяет изменять существующую трассу путем трассировки альтернативного пути – вы трассируете новый путь, пока он не встретится со старым (создав петлю), затем щелкаете ПКМ для окончания трассировки. Система автоматически удалит лишнюю часть старого пути. Эта возможность будет изучена далее по уроку.
  3. Убедитесь, что в области Interactive Routing Width Sources опциям Track Width Mode и Via Size Mode заданы значения Rule Preferred.
  4. Нажмите Ctrl+Shift+G, чтобы открыть диалоговое окно Snap Grid и задать сетку привязки 0.25mm.

Задайте подходящее для трассировки значение стеки привязки. Нажмите Ctrl+Shift+G, чтобы открыть диалоговое окно Snap Grid, и задайте значение сетки 0.25mm.

Пора трассировать

  • Запуск интерактивной трассировки осуществляется нажатием кнопки Route в панели Active Bar или выбором команды Route » Interactive Routing (сочетание клавиш Ctrl+W).
  • Большинство компонентов этого простого проекта монтируются на поверхность, поэтому плату можно растрассировать на верхнем слое. В качестве руководства при трассировке используйте линии соединения.
  • Трассы на плате представляют собой наборы прямых сегментов. При каждом изменении направления начинается новый сегмент трассы. Кроме того, по умолчанию редактор плат ограничивает трассы вертикальным, горизонтальным и 45° направлениями, что позволяет получить профессиональный результат. Это поведение можно настроить под ваши требования, но в этом уроке можно использовать настройки по умолчанию.
  • Когда трассировка достигает целевой контактной площадки, система автоматически отпускает соединение и вы остаетесь в режиме Interactive Routing для трассировки следующего соединения.

Демонстрация трассировки платы. Многие соединения завершаются автоматически с помощью сочетания Ctrl+ЛКМ.

  1. Проверьте, какие слои в данный момент видимы, посмотрев на вкладки слоев в нижней части рабочей области. Если нижний слой Bottom Layer не виден, нажмите L, чтобы открыть панель View Configuration и включите слой Bottom Layer.
  2. Щелкните ЛКМ по вкладке Top Layer в нижней части рабочей области, чтобы сделать верхний слой активный для трассировки на нем.
  3. Часто бывает проще трассировать в режиме одного слоя; нажимайте Shift+S, чтобы переключаться между доступными режимами одного слоя.
  4. Нажмите в Active Bar (или используйте сочетание Ctrl+W) либо выберите команду Interactive Routing из меню Route либо щелкните ПКМ и выберите Interactive Routing из контекстного меню. Курсор изменит свой вид на перекрестие, показывая, что вы перешли в режим интерактивной трассировки.
  5. Наведите курсор на нижнюю контактную площадку соединителя P1. При подведении курсора близко к контактной площадке он автоматически привяжется к центру контактной площадки. За это отвечает функциональная возможность Objects for snapping, которая подтягивает курсор к включенной горячей точке ближайшего электрического объекта (задайте расстояние привязки Snap Distance и объекты для привязки Objects for snapping в области Snap Options панели Properties). Иногда функция Objects for snapping подтягивает курсор, когда в этом нет необходимости. В этом случае нажмите Ctrl, чтобы временно подавить привязки. Либо используйте сочетание Shift+E для циклического переключения режима Hotspot Snap между тремя возможными состояниями: Hotspot Snap (All Layers) / Hotspot Snap (привязка только на текущем слое) / отключено (ничего не отображается). Текущий режим отображается в строке состояния.
    ► Узнайте больше о Системе сеток редактора плат, в том числе и о привязке к объектам.
  6. Щелкните ЛКМ или нажмите Enter для фиксации первой точки трассы.
  7. Переместите курсор по направлению к нижней контактной площадке резистора R1 и щелкните ЛКМ для размещения вертикального сегмента. Обратите внимание на различные способы отображения сегментов трасс (как показано на изображении ниже). В процессе трассировки сегменты отображаются следующим образом:
    • Сплошная заливка – сегмент размещен.
    • Штриховка – сегменты предложены, но не зафиксированы. Эти сегменты будут размещены при следующем щелчке ЛКМ.
    • Пустой – так называемый упреждающий сегмент, который позволяет понять, где должен заканчиваться предложенный сегмент. Этот сегмент не размещается при щелчке ЛКМ, пока следующий щелчок ЛКМ не завершит трассу. В этом случае, опция Automatically Terminate Routing переопределяет упреждающее поведение по умолчанию. Включение и отключение упреждающего поведения осуществляется с помощью нажатия клавиши 1 в процессе трассировки.

    Сплошные сегменты размещены, заштрихованные предложены, но не зафиксированы, пустые являются упреждающими сегментами. Нажмите клавишу 1 для включения/отключения упреждения.  Сплошные сегменты размещены, заштрихованные предложены, но не зафиксированы, пустые являются упреждающими сегментами. Нажмите клавишу 1 для включения/отключения упреждения.
    Сплошные сегменты размещены, заштрихованные предложены, но не зафиксированы, пустые являются упреждающими сегментами. Нажмите клавишу 1 для включения/отключения упреждения.

  8. Вручную разместите трассу, заканчивающуюся на нижней контактной площадке резистора R1, с помощью щелчков ЛКМ для подтверждения сегментов трасс. Обратите внимание, как каждый щелчок ЛКМ размещает заштрихованные сегменты. В процессе трассировки нажмите Backspace для удаления последних размещенных сегментов.
  9. Вместо трассировки всего пути до целевой контактной площадки вы можете нажать Ctrl+ЛКМ для использования функции Auto-Complete. Система попытается провести всё соединение. Автоматическое завершение ведет себя следующим образом:
    • Выбирается самый короткий путь, который может оказаться не самым лучшим, поэтому необходимо всегда принимать во внимание другие соединения, которые нужно трассировать. При использовании режима Push (отображается в строке состояния в процессе трассировки) автоматическое завершение может расталкивать другие трассы для достижения цели.
    • При большой длине соединения автоматическое завершение может быть не доступно поскольку путь трассы прокладывается сегмент за сегментом, и финальная трасса между источником и целью может быть невозможной.
    • Вы также можете использовать автоматическое завершение (Ctrl+ЛКМ) непосредственно на контактной площадке или линии соединения.
  10. Проложите трассы всех соединений платы. На анимации выше показан процесс интерактивной трассировки платы.

    На анимации, показанной выше, есть трасса, идущая от верхней контактной площадки компонента R1 к среднему выводу Q1, которая проходит между контактными площадками компонента R3. Возможность трассировки между контактными площадками SMD-резистора зависит от производителя этого резистора. Например, резисторы Yageo и Vishay Dale немного больше, и расстояние между их контактными площадками позволяет проложить трассу с заданной для этого проекта шириной и зазором. С другой стороны, резисторы Panasonic немного меньше, и проложить трассу между их контактными площадками невозможно. В этом случае, для завершения трассировки может понадобиться проложить одно соединение на нижнем слое. Для переключения между слоями и размещения переходного отверстия в процессе трассировки используйте клавишу * на цифровом блоке клавиатуры или используйте сочетание Ctrl + Shift + Вращение колеса мыши.

  11. Нет единственного решения для трассировки платы, поэтому вам неизбежно понадобится изменять трассировку. Редактор плат включает в себя возможности и инструменты, которые помогут в этом и которые будут рассмотрены ниже. Они показаны на предыдущей анимации.
  12. По завершении трассировки сохраните плату.
Сочетание клавиш Действие
Нажмите Ctrl+W, чтобы запустить интерактивную трассировку.
Нажмите Ctrl+ЛКМ, чтобы автоматически завершить текущее соединение. Работает только в процессе интерактивной трассировки.
Перемещайте мышь с зажатой ЛКМ для перетаскивания существующей трассы. Доступно, когда не запущено других команд.

Режимы интерактивной трассировки

Модуль интерактивной трассировки редактора плат поддерживает ряд различных режимов, каждый из которых позволяет работать с определенными ситуациями. Нажимайте сочетание Shift+R для циклического переключения между этими режимами в процессе интерактивной трассировки. Обратите внимание, что текущий режим отображается в строке состояния и в окне Heads-Up Display.

  • Ignore Obstacles – этот режим позволяет размещать трассы где угодно, с отображением потенциальных нарушений.
  • Stop at first Obstacle – в этом режиме трассировка, по сути, становится ручной, т.е. при столкновении с препятствием сегмент трассы будет отсечен, чтобы избежать нарушения.
  • Walkaround Obstacles – этот режим будет пытаться найти путь трассы вокруг существующих препятствий без их перемещения.
  • Hug & Push Obstacles – этот режим является комбинацией режимов Walkaround и Push. Он будет обходить препятствия подобно режиму Walkaround, но он также будет пытаться расталкивать препятствия в случае недостаточного зазора, чтобы продолжить использовать обход.
  • Push Obstacles – этот режим будет пытаться переместить объекты (трассы и переходные отверстия), которые можно переместить без нарушений, в соответствии с новой трассировкой.
  • Autoroute on Current Layer – этот режим использует базовые возможности автотрассировки в процессе интерактивной трассировки. Он может автоматически выбирать между обходом и расталкиванием на основе эвристических правил, которые принимают в расчет расстояние расталкивания в зависимости от расстояния обхода и длины трассы. Как и автотрассировщик, этот режим может позволить добиться лучших результатов на сложной плате с плотной трассировкой, чем на простой нерастрассированной плате.
  • Autoroute on Multiple Layers – этот режим использует базовые возможности автотрассировки в процессе интерактивной трассировки, и он также может автоматически выбирать между обходом и расталкиванием на основе эвристических правил, которые принимают в расчет расстояние расталкивания в зависимости от расстояния обхода и длины трассы. Этот режим также может размещать переходные отверстия и использовать другие слои для трассировки. Как и автотрассировщик, этот режим может позволить добиться лучших результатов на сложной плате с плотной трассировкой, чем на простой нерастрассированной плате.

Режимы интерактивной трассировки, в которых нет необходимости, можно отключить на странице PCB Editor — Interactive Editing диалогового окна Preferences.


Трассировка – советы и трюки

Редактор плат включает в себя ряд функциональных возможностей, которые позволяют сделать процесс трассировки более эффективным, в том числе: сочетания клавиш, используемые в процессе трассировки, подробная обратная связь в строке состояния и окне Heads-up Display, возможность отображать границы зазоров в процессе трассировки.

Сочетание клавиш для трассировки

Полезные сочетания клавиш для использования в процессе трассировки:

Сочетание Поведение
~ (тильда) или Shift+F1 Всплывающее меню интерактивных сочетаний клавиш – большинство настроек можно изменить на лету нажатием соответствующего сочетания или выбором команды из меню.
* или Ctrl + Shift + Вращение колеса мыши Переключение на следующий доступный сигнальный слой. Автоматически добавляется переходное отверстие, соответствующее применяемому правилу проектирования Routing Via Style. Узнайте больше об изменении слоев и добавлении переходов в процессе интерактивной трассировки.
Tab Открытие панели Properties в режиме Interactive Routing, где вы можете изменить настройки трассировки.
Shift+R Циклическое переключение между доступными режимами разрешения конфликтов. Задайте нужные режимы на странице PCB Editor — Interactive Routing диалогового окна настроек.
Shift+S Переключение между доступными режимами одного слоя (Single Layer Modes). Эта возможность идеально подходит в том случае, если на множестве слоев находится много объектов. Задайте доступные режимы на странице PCB Editor — Board Insight Display.
Пробел Переключение текущего направления угла
Shift+Пробел Циклическое переключение между различными режимами углов трасс. Доступные режимы: произвольный угол, 45°, 45° с дугой, 90° и 90° с дугой. На странице PCB Editor — Interactive Routing есть возможность ограничить их только углами 45° и 90°.
Ctrl+Shift+G Циклическое переключение между тремя настройками эффективности сглаживания (Gloss Effort (Routed)). Текущая настройка отображается в информационном окне Heads Up Display и в строке состояния.
Ctrl+ЛКМ Автоматическое завершение трассируемого соединения. Автоматическое завершение не сработает, если есть неразрешимые конфликты с препятствиями.
1 Включение и отключение режима упреждения
3 Циклическое переключение между настройками ширины трассировки: минимум / предпочтительное значение / максимум / пользовательский выбор. Узнайте больше об изменении ширины трассы в процессе трассировки.
4 Циклическое переключение между стилями переходных отверстий: минимум / предпочтительное значение / максимум / пользовательский выбор. Узнайте больше об изменении размера переходного отверстия в процессе трассировки.
6 Циклическое переключение между доступными типами переходов
Shift + E Циклическое переключение между тремя доступными режимами привязки к горячим точкам: отключено / включено на текущем слое / включено для всех слоев.
Ctrl Временно подавляет привязку в процессе трассировки.
End Обновление экрана
PgUp / PgDn Приближение и отдаление относительно текущего положения курсора. Либо используйте стандартные сочетания с колесом мыши для изменения масштаба и панорамирования.
Backspace Удаление последнего размещенного сегмента трассы
ПКМ или Esc Освобождение текущего соединения с сохранением активности режима интерактивной трассировки

Обратная связь в процессе интерактивной трассировки

В процессе трассировки важно знать название цепи и текущий режим для ширины трасс. Эта и другая полезная информация доступна в процессе трассировки в окне Heads-Up Display и в строке состояния. Также есть функция, которая помогает визуализировать доступное для трассировки пространство, а именно возможность отображения границ зазоров вокруг объектов других цепей. Это продемонстрировано на изображении ниже, где трассируется цепь 12V, а вокруг объектов всех других цепей отображается граница зазоров, определенная применяемым правилом Electrical Clearance Constraint (которое было задано ранее в этом уроке). Пересечь эту границу в процессе трассировки невозможно.

  • Нажмите Shift+H, чтобы включить или отключить окно Heads-Up Display. Настройка содержимого, цвета и шрифтов этого окна осуществляется на странице PCB Editor — Board Insight Modes диалогового окна Preferences.
  • Нажмите Ctrl+W для включения или отключения отображения границ зазоров.

  • Текущие координаты и сетка привязки рабочей области
  • Привязка к горячим точкам: отключено / включено на текущем слое / включено на всех слоях
  • Текущий режим размещения углов
  • Текущий режим интерактивной трассировки
  • Источник ширины трассировки
  • Источник стиля переходного отверстия для трассировки
  • Название используемого типа переходного отверстия
  • Текущая эффективность сглаживания
  • Название цепи
  • Общая длина трассировки
  • Размеры размещаемого сегмента трассы

Изменение и повторная трассировка существующих трасс

Для изменения существующих трасс существует два подхода: повторная трассировка и перемещение.

Повторная трассировка существующих трасс

  • Для переопределения пути трассы нет необходимости удалять трассировку. Вы можете нажать кнопку Route и начать трассировать новую трассу.
  • Функция Loop Removal автоматически удалит все лишние сегменты трасс (и переходные отверстия), когда вы замкнете петлю и щелкните ПКМ, обозначив, что вы завершили трассировку (функция Loop Removal была включена ранее в уроке).
  • Вы можете начать и закончить новую трассу в любой точке, переключая слои как необходимо.
  • Вы также можете создавать временные нарушения, переключив в режим Ignore Obstacle (как показано на анимации ниже), которые вы устраните позже.

Простая анимация с демонстрацией функции Loop Removal, которая используется для изменения существующей трассировки.

Включение функции Loop Removal осуществляется на странице PCB Editor — Interactive Routing диалогового окна Preferences. Обратите внимание, что могут возникнуть ситуации, когда будет необходимо создать петлю, например, при трассировке цепи питания. При необходимости функцию Loop Removal можно отключить для отдельной цепи, отредактировав ее в панели PCB. Чтобы получить доступ к этой настройке, переведите панель в режим Nets, затем дважды щелкните ЛКМ по имени цепи в панели, чтобы открыть диалоговое окно Edit Net.

В процессе удаления петель при возвращении к существующей трассировке бывает необходимо продолжить новую трассу. Когда опция Automatically Terminate Routing включена, то при наложении новой трассы на существующую процесс трассировки будет завершен, а лишняя старая трасса будет удалена. В этих ситуациях может быть эффективнее отключить опцию Automatically Terminate Routing.

Перемещение существующих трасс

  • Для интерактивного перемещения или перетаскивания сегментов трасс зажмите ЛКМ на ней и перетаскивайте мышь, как показано на анимации ниже. Поведение перетаскивания по умолчанию настраивается на странице PCB — Interactive Routing диалогового окна Preferences, как показано на анимации ниже.
  • Редактор плат автоматически поддерживает углы 45/90 с соединенными сегментами, укорачивая и удлиняя их по необходимости.

Простая анимация с демонстрацией перетаскивания трасс для изменения существующей трассировки.

Советы по интерактивному перетаскиванию

  • Изменение поведения по умолчанию «выделить, затем перетаскивать» осуществляется путем изменения опций Unselected via/track и Selected via/track на странице PCB Editor — Interactive Routing диалогового окна Preferences.
  • В процессе перетаскивания также применяются режимы размещения конфликтов (Ignore, Push, HugNPush). В процессе перетаскивания сегмента трассы нажимайте Shift+R для циклического переключения между доступными режимами.
  • Трасса будет «перепрыгивать» существующие контактные площадки и переходные отверстия, либо переходные отверстия будут перемещены, если это нужно и возможно, если включен режим Push.
  • Для преобразования угла 90 градусов в угол 45 градусов начните перетаскивать трассу за вершину угла.
  • В процессе перетаскивания вы можете переместить курсор и привязать его к горячей точке существующего неподвижного объекта, такого как контактная площадка (показано выше). Используйте это, чтобы выравнивать новое положение сегмента с существующими объектами и чтобы избегать добавления очень маленьких сегментов.
  • Чтобы разбить одиночный сегмент, выделите его, затем наведите курсор на его центральную точку, чтобы добавить новые сегменты.
  • Нажмите клавишу Tab в процессе перетаскивания, чтобы открыть панель Properties в режиме Interactive Sliding, где вы можете изменить настройки перетаскивания.

Пример перетаскивания множества трасс с режимом разрешения конфликтов трассировки Push.
Пример перетаскивания множества трасс с режимом разрешения конфликтов трассировки Push.


ActiveRoute – Автоматизированная интерактивная трассировка

Главная страница: Технология ActiveRoute

Другим подходом к трассировке цепей на плате является использование ActiveRoute – автоматизированного интерактивного трассировщика от Altium.

Что это означает? Вы выбираете соединение или соединения, которые необходимо трассировать, выбираете слой и запускаете ActiveRoute. ActiveRoute использует эффективные алгоритмы трассировки множества цепей, которые применяются к цепям или соединениям, которые вы выбрали. ActiveRoute также позволяет интерактивно задавать путь, который определяет «коридор», вдоль которого будут идти новые трассы.

ActiveRoute был разработан для плотных плат, использующих компоненты с большим количеством выводов, для ускорения сложного и трудоемкого процесса трассировки. Плата в этом уроке достаточно простая, но на ней можно изучить использование этих возможностей.

Работа с ActiveRoute

  • Настройка и запуск ActiveRoute осуществляется через панель PCB ActiveRoute, как показано на изображении ниже.
  • ActiveRoute не переключается между слоями, а пытается создать соединения между контактными площадками и между контактной площадкой и переходным отверстием на одном из слоев, включенных в панели PCB ActiveRoute. Фэнауты компонентов с большим количеством выводов должны быть созданы до использования ActiveRoute.
  • ActiveRoute пытается трассировать выделенные контактные площадки/переходные отверстия/соединения/цепь/цепи. Используйте следующие способы выделения соединений и цепей:
    • Переведите панель PCB в режим Nets, включите опцию Select в верхней части панели и щелкните ЛКМ по названию цепи для ее выделения (не по флажку рядом с названием, который используется для включения опции Board Insight Color Override для этой цепи). Используйте стандартные сочетания клавиш Windows для выделения множества объектов.
    • Интерактивное выделение соединений в рабочей области – Перетаскивание с зажатой ЛКМ и клавишей Alt, справа налево (зажмите клавишу Alt и переместите мышь справа налево для создания зеленой рамки). Все объекты, пересеченные зеленой рамкой, будут выделены. Зажмите клавишу Shift, чтобы продолжить выделение дополнительных соединений.
    • Щелчок ЛКМ для выделения отдельной контактной площадки.
    • Выделение множества контактных площадок компонента – Перетаскивание с зажатой ЛКМ и клавишей Ctrl (зажмите клавишу Ctrl и переместите мышь для выделения множества контактных площадок компонента рамкой). Перемещайте мышь слева направо для выделения объектов, полностью попадающих в рамку, и справа налево – для выделения объектов, пересекающих рамку.
  • Включите слой (слои) для трассировки в панели PCB ActiveRoute.

Учебная плата, используемая для изучения ActiveRoute.Учебная плата, используемая для изучения ActiveRoute.

  1. Откройте панель PCB ActiveRoute ( » PCB ActiveRoute).
  2. Откройте панель PCB.
  3. Выберите режим Nets в выпадающем списке в верхней части панели и включите флажок Select.
  4. Удалите трассировку платы (Route » Unroute » All).
  5. В списке цепей в панели щелкните ЛКМ по названию цепи 12V.
  6. В панели PCB ActiveRoute включите верхний слой Top Layer.
  7. Нажмите кнопку ActiveRoute в верхней части панели. Цепь 12V будет трассирована.
  8. Щелкните ЛКМ по цепи GND в панели PCB, затем нажмите кнопку ActiveRoute. Если вы хотите использовать сочетание Shift+A для запуска ActiveRoute, необходимо щелкнуть ЛКМ в рабочей области после использования панели. Это сделает рабочую область активным элементом системы, иначе программа будет воспринимать это сочетание как инструкцию для панели.
  9. Щелкните ЛКМ по цепи NetC1_2 в панели, затем нажмите кнопку ActiveRoute.
  10. Щелкните ЛКМ по цепи NetC2_1 в панели, затем нажмите кнопку ActiveRoute.
  11. Щелкните ЛКМ по цепи NetC2_2 в панели, затем нажмите кнопку ActiveRoute.
  12. Щелкните ЛКМ по цепи NetC1_1 в панели, затем нажмите кнопку ActiveRoute. Одно соединение цепи будет растрассировано, другое нет, поскольку расположение линий соединения по умолчанию не корректно для возможного решения трассировки, т.е. линия соединения находится между выводами Q1-2 и C1-1, а потенциальный путь трассы находится между Q1-2 и R1-2. Хотя переопределить порядок линий соединений можно вручную с помощью From-To, более простым подходом является создание отрезка трассы от Q1-2 и R1-2, чтобы создать более короткую линию соединения, между которыми ActiveRoute может проложить трассу (показать изображение).
  13. Щелкните ЛКМ по цепи NetC1_1 в панели, затем нажмите кнопку ActiveRoute; это соединение теперь должно трассироваться.

    Результат ActiveRouteРезультат ActiveRoute


Проверка конструкции платы

Главные страницы: Справочник по правилам проектирования, Проверка правил проектирования

Редактор плат является средой проектирования, работающей на основе правил, в которой вы можете задавать правила проектирования различных типов для их последующей проверки, чтобы убедиться в целостности конструкции. Настройка правил проектирования обычно осуществляется в начале процесса проектирования. Динамическая проверка правил проектирования отслеживает включенные правила в процессе работы и сразу выделяет все обнаруженные нарушения. Кроме того, вы можете запустить пакетную проверку правил проектирования, чтобы проверить проект на соответствие правилам и сформировать отчет, в котором приведена информация по правилам и всем обнаруженным нарушениям.

Ранее в этом уроке вы изучили правила проектирования для трассировки, добавили новое ограничение для цепей питания, а также ограничение электрического зазора и правило для стиля переходных отверстий. Кроме того, есть ряд других правил, которые существуют в новой плате по умолчанию.

Настройка отображения нарушений правил

Страница настроек Preferences: PCB Editor — DRC Violations Display

Перед тем, как проверять проект на нарушения, важно понимать, как они отображаются.

В Altium Designer есть два способа отображения нарушения, каждый из которых обладает собственными преимуществами. Настройка этих способов осуществляется на странице PCB Editor — DRC Violations Display диалогового окна Preferences:

  • Violation Overlay – нарушения, найденные в примитивах, подсвечиваются цветом, выбранным для маркеров нарушений DRC (настраивается в панели View Configuration ; нажмите L, чтобы открыть ее). По умолчанию эти примитивы отображаются сплошной заливкой при отдалении и изменяют свой вид на выбранный настройкой Violation Overlay Style стиль при приближении (по умолчанию это Style B – перекрестие в круге).
  • Violation Details – при дальнейшем приближении добавляется информация о нарушении (если включена опция Violation Detail), которая может включать в себя:
    • Информацию по месту нарушения
    • Если применимо, иконка указывает тип нарушения. Например, пересекающиеся тонкие линии означают короткое замыкание.
    • Число показывает нарушение значения правила, например <0.25mm.

    Нарушения могут отображаться цветным оверлеем, а также сообщением и символами для отображения ошибок различных типов.
    Нарушения могут отображаться цветным оверлеем, а также сообщением и символами для отображения ошибок различных типов.

    Нарушения, отображенные сплошным зеленым цветом (изображение слева). При приближении вида они отображаются в стиле согласно выбранной настройке Violation Overlay Style (изображение в центре). При дальнейшем приближении добавляется отображение информация о нарушении Violation Details. Нарушения, отображенные сплошным зеленым цветом (изображение слева). При приближении вида они отображаются в стиле согласно выбранной настройке Violation Overlay Style (изображение в центре). При дальнейшем приближении добавляется отображение информация о нарушении Violation Details.
    Нарушения, отображенные сплошным зеленым цветом (изображение слева). При приближении вида они отображаются в стиле согласно выбранной настройке Violation Overlay Style (изображение в центре). При дальнейшем приближении добавляется отображение информация о нарушении Violation Details.

  1. Выберите » View Configuration (клавиша L) и убедитесь, что видимость DRC Error включена (раздел System Colors), чтобы отображались маркеры ошибок DRC.
  2. Убедитесь, что система динамической проверки проектных правил Online DRC (Design Rule Checking) включена на странице PCB Editor — General диалогового окна Preferences. Оставьте окно Preferences открытым и перейдите на его страницу PCB Editor — DRC Violations Display.
  3. Страница PCB Editor — DRC Violations Display диалогового окна Preferences используется для настройки отображения нарушений в рабочей области. Есть два различных способа отображения нарушений, каждый со своими преимуществами.
  4. Для этого урока щелкните ПКМ в области Display страницы PCB Editor — DRC Violations Display диалогового окна Preferences и выберите Show Violation Details — Used, затем щелкните ПКМ еще раз и выберите Show Violation Overlay — Used, как показано на изображении диалогового окна выше.
  5. Теперь вы готовы проверить проект на ошибки.

Правила, которые необходимо проверять, зависят от проекта; не существует определенного набора правил, которые подойдут каждому проекту. Помните об этом, когда проверяете нарушения правил. Спросите себя, нужно ли включать это правило? Если вы пытаетесь разобраться с каким-либо правилом в окне PCB Rules and Constraints Editor и не уверены в его назначении, щелкните ЛКМ где-нибудь в области его настроек и нажмите клавишу F1 для получения информации об этом правиле.

Настройка проверки правил

Страница диалогового окна: Design Rule Checker

Проверка проекта на нарушения осуществляется путем запуска Design Rule Checker. Выберите команду Tools » Design Rule Check, чтобы открыть диалоговое окно, в котором настраивается как динамическая, так и пакетная проверка.

Настройки отчета о проверке

  • По умолчанию диалоговое окно открывается на странице Report Options, выбранной в дереве в левой части диалогового окна (как показано ниже).
  • В правой части отображается список опций формируемого отчета. Для получения более подробной информации об этих настройках нажмите F1, когда курсор находится в диалоговом окне. Настройки этих опций можно оставить по умолчанию.

    Проверка правил, динамическая и пакетная, настраивается в диалоговом окне Design Rule Checker.Проверка правил, динамическая и пакетная, настраивается в диалоговом окне Design Rule Checker.

Проверяемые правила

  • Проверка отдельных правил настраивается в области Rules to Check диалогового окна. Выберите эту страницу в дереве в левой части окна, чтобы отобразить список правил всех типов (как показано ниже). Вы также можете можете отобразить правила определенного типа, например, Electrical, выбрав соответствующую страницу в левой части окна.
  • Для большинства типов правил доступны флажки для динамической проверки (Online – проверка в процессе работы) и пакетной проверки (Batch – проверка после нажатия на кнопку Run Design Rule Check).
  • Нажмите, чтобы включать/отключать правила по необходимости, либо щелкните ПКМ для открытия контекстного меню. Меню позволяет быстро переключать настройки проверок Online и Batch. Выберите пункт Batch DRC — Used On, как показано ниже.

    Настройка проверки каждого правила проектирования. Используйте контекстное меню, чтобы включить используемые правила.Настройка проверки каждого правила проектирования. Используйте контекстное меню, чтобы включить используемые правила.

Запуск проверки правил проектирования (DRC)

Нажмите кнопку Run Design Rule Check в нижней части диалогового окна для выполнения проверки правил проектирования. По нажатию кнопки будет запущена DRC, затем:

  • Будет открыта панель Messages со списком всех обнаруженных ошибок.
  • Если на странице Report Options диалогового окна была включена опция Create Report File, то в отдельной вкладке документа будет открыт отчет о проверке Design Rule Verification Report. Отчет для этого урока показан ниже.
    • В верхней части отчета приведены правила, включенные в проверку, и количество найденных нарушений. Щелкните ЛКМ по правилу, чтобы перейти к нему и изучить ошибки.
    • Под сводкой по нарушениям приводятся подробные сведения по каждому нарушению.
    • Ссылки в отчете активны. Щелкните ЛКМ по определенной ошибке, чтобы перейти к ней на плате и исследовать ее там. Обратите внимание, что уровень приближения при этом действии настраивается на странице System — Navigation диалогового окна Preferences. Подберите подходящий вам уровень приближения.

В верхней части отчета приведены сведения о правилах, которые включены в проверку, и о количестве обнаруженных нарушений. Щелкните ЛКМ по правилу, чтобы перейти к нему и изучить ошибки.В верхней части отчета приведены сведения о правилах, которые включены в проверку, и о количестве обнаруженных нарушений. Щелкните ЛКМ по правилу, чтобы перейти к нему и изучить ошибки.

В нижней части отчета показаны правила с нарушениями и списком объектов с ошибками. Щелкните ЛКМ по ошибке, чтобы перейти к объекту на плате.
В нижней части отчета показаны правила с нарушениями и списком объектов с ошибками. Щелкните ЛКМ по ошибке, чтобы перейти к объекту на плате.

Поиск ошибок

При знакомстве с системой длинный список нарушений может сперва показаться пугающим. Хорошим подходом при работе с ним является отключение и включение правил в диалоговом окне Design Rule Check на различных этапах процесса проектирования. Если есть нарушения, не рекомендуется отключать сами правила, только их проверку. Например, вы можете отключить проверку правила Un-Routed Net до тех пор, пока не растрассируете плату полностью.

  • При запуске пакетной проверки учебной платы появляются:
    • 1 ошибка Silk to Silk clearance – расстояние между двумя соседними объектами на слое шелкографии меньше допустимого этим правилом значения.
    • 8 ошибок Silk to Solder Mask clearance – расстояние между вскрытием паяльной маски и краем объекта шелкографии меньше допустимого этим правилом значения.
    • 4 ошибки Minimum Solder Mask Sliver – минимальная ширина полоски паяльной маски меньше допустимого этим правилом значения. Обычно появляется между контактными площадками компонентов.
    • 4 ошибки Clearance Constraint – измеренное значение электрического зазора между объектами на сигнальных слоях меньше допустимого этим правилом значения.
  • Для обнаружения нарушения выполните одно из следующих действий:
    • Щелкните ЛКМ по ссылке в файле отчета.
    • Дважды щелкните ЛКМ по сообщению в панели Messages.
    • Щелкните ЛКМ по нарушению в панели PCB Rules And Violations.
  • Используйте подробную информацию о нарушении, чтобы понять условия ошибки.
  • На изображении ниже показана подробная информация об одном из нарушений зазора, которая белыми стрелками и текстом 0.25mm показывает, что этот зазор меньше минимально допустимого правилом значения 0,25 мм. Следующим шагом является выяснение текущего значения зазора, чтобы понять, насколько нарушено правило и как можно это нарушение исправить.

    Информация о нарушении показывает, что зазор между этими двумя контактными площадками меньше 0,25 мм. Текущий зазор здесь не отображается.
    Информация о нарушении показывает, что зазор между этими двумя контактными площадками меньше 0,25 мм. Текущий зазор здесь не отображается.

Понимание ошибки

Итак, вы нашли ошибку. Как узнать, насколько нарушено правило? Вам как конструктору эта информация необходима для решения, как лучше всего это нарушение исправить.

Например, если в правиле сказано, что минимально допустимая ширина полоски паяльной маски равна 0,25 мм, а актуальная ширина равна 0,24 мм, то можно изменить правило, чтобы это значение стало приемлемым. Но если актуальная ширина полоски равна 0,02 мм, то такую ситуацию вряд ли можно решить исправлением правила.

Редактор плат включает в себя три полезных инструмента измерения: Measure Distance, Measure Selected Objects и Measure Primitives, которые доступны из меню Reports.

  • Measure Distance – измеряет расстояние между двумя местами, которые вы указываете щелчками ЛКМ после запуска команды; смотрите на строку состояния для получения инструкций. Места, по которым доступен щелчок ЛКМ, ограничены активной сеткой привязки.
  • Measure Selected Objects – измеряет длину выделенных трасс и дуг. Используйте этот инструмент для определения длины трассировки, для этого выделите нужные объекты вручную либо используйте команду Select » Physical Connection или Select » Connected Copper.
  • Measure Primitives – измеряет расстояние между краями двух примитивов, которые вы указываете щелчками ЛКМ после запуска команды; смотрите на строку состояния для получения инструкций.
  • Результаты измерений будут отображены непосредственно в рабочей области. Используемые цвета настраиваются в разделе System Colors панели View Configuration. Размеры остаются на экране, что позволяет провести множество измерений. Нажмите Shift+C, чтобы очистить результаты измерений.

    Измерение расстояния между краями соседних контактных площадок с помощью команды Measure Primitives.Измерение расстояния между краями соседних контактных площадок с помощью команды Measure Primitives.

Помимо измерения расстояния, есть ряд других способов для того, чтобы узнать, насколько нарушено правило. Вы можете использовать:

  • Подменю Violations контекстного меню, либо
  • Панель PCB Rules And Violations, либо
  • Информация в панели Messages, где наряду с ограничением указано текущее значение (например, 0.175 < 0.254).

Подменю Violations

О подменю Violations контекстного меню было сказано ранее в разделе Существующие нарушения правил проектирования.

  • На изображении ниже показано, как в подменю Violations отображена информация с актуальным измерением и значением, указанным в правиле.

    Щелкните ПКМ по нарушению, чтобы изучить, какое правило было нарушено, и условия этого нарушения.
    Щелкните ПКМ по нарушению, чтобы изучить, какое правило было нарушено, и условия этого нарушения.

Панель PCB Rules And Violations

Страница панели: PCB Rules And Violations

Панель PCB Rules And Violations очень полезна для поиска и понимания нарушений.

  • Нажмите кнопку , затем выберите PCB Rules And Violations в меню, чтобы отобразить панель. По умолчанию в списке Rule Classes отображаются все правила ([All Rules]). После того, как вы определили тип интересующего правила, выберите его, чтобы только эти нарушения были отображены в нижней части панели.
  • Щелкните ЛКМ по нарушению в списке, чтобы перейти к нему на плате; дважды щелкните ЛКМ по нарушению, чтобы открыть диалоговое окно Violation Details.

    В панели отображен тип нарушения, измеренное значение, значение правила и объекты с нарушением.В панели отображен тип нарушения, измеренное значение, значение правила и объекты с нарушением.

Обратите внимание на верхнюю часть панели PCB Rules And Violations, где находится выпадающий список для выбора режима Normal, Dim или Mask. Режимы Dim и Mask являются фильтрами отображения, при которых только выбранные объекты отображаются в нормальном состоянии, а все остальные «приглушаются». Режим Dim применяет фильтр, но позволяет редактировать все объекты в рабочей области. Режим Mask отфильтровывает все остальные объекты рабочей области, позволяя редактировать только неотфильтрованные объекты.

Степень приглушения управляется ползунками Dimmed Objects и Masked Objects в разделе Mask and Dim Settings панели View Options панели View Configuration. Поэкспериментируйте с этими ползунками, когда используется режим Mask или Dim.

Чтобы очистить фильтр, нажмите кнопку Clear в верхней части панели PCB Rules And Violations или используйте сочетание Shift+C. Возможности фильтрации очень полезны при работе с платой со множеством объектов, и их также можно использовать из панелей PCB и PCB Filter.

Исправление нарушений

Как конструктору, вам необходимо понять наиболее подходящий способ решения каждого нарушения правила проектирования. Начнем с ошибок паяльной маски.

Ошибки паяльной маски

Страницы правил проектирования: Minimum Solder Mask Sliver, Silk to Solder Mask Clearance

Паяльная маска – это тонкий, похожий на лак слой на поверхности платы, который выступает в роли защитного и изолирующего покрытия проводников. Вскрытия в этой маске создают для пайки компонентов и проводов к меди. Эти вскрытия отображаются в качестве объектов на слое паяльной маски в редакторе плат (обратите внимание, что слой паяльной маски определен в негативе – объекты, которые вы видите здесь, являются отверстиями в паяльной маске).

Существуют различные технологические процессы создания паяльной маски. Самым дешевым из них является трафаретная печать на поверхность. Чтобы избежать проблем совмещения слоев, вскрытия в маске обычно делают больше контактных площадок. В правиле проектирования по умолчанию это увеличение равно 4 милов (~0,1 мм).

Есть другие способы создания паяльной маски, которые предлагают совмещение слоев более высокого качества или более точное определение фигур. При использовании этих способов увеличение паяльной маски может быть меньше или даже равно нулю. Уменьшение вскрытий паяльной маски уменьшает вероятность появления тонких полос паяльной маски и ошибок зазоров между шелкографией и паяльной маской.

Нарушение расстояния между вскрытиями паяльной маски (слева) и нарушение зазора между шелкографией и паяльной маской (справа). Фиолетовая область показывает увеличение паяльной маски вокруг каждой контактной площадки.   Нарушение расстояния между вскрытиями паяльной маски (слева) и нарушение зазора между шелкографией и паяльной маской (справа). Фиолетовая область показывает увеличение паяльной маски вокруг каждой контактной площадки.
Нарушение расстояния между вскрытиями паяльной маски (слева) и нарушение зазора между шелкографией и паяльной маской (справа). Фиолетовая область показывает увеличение паяльной маски вокруг каждой контактной площадки.

Ошибки, подобные этим, невозможно исправить без учета технологических процессов, которые будут применяться для изготовления платы.

Например, для сложной многослойной платы для дорогостоящего изделия, скорее всего, будет использоваться технология создания паяльной маски высокого качества. Но для простой двухсторонней платы, подобной той, которая используется в этом уроке, вероятнее использование более дешевой технологии паяльной маски. Это значит, что устранение ошибок ширины полос паяльной маски путем исправления правила проектирования для всей платы в данном случае не является допустимым решением.

Как и для многих других аспектов проекта платы, здесь необходимо обдумать компромиссное решение, которое снизило бы свое воздействие до минимума.

Включите отображение паяльной маски перед проверкой ее ошибок и их решением. Если она не видна, нажмите L, чтобы открыть панель View Configuration, где этот слой можно включить.

Чтобы устранить это нарушение, вы можете:

  1. Увеличить вскрытия паяльной маски, чтобы полностью удалить маску между контактными площадками транзисторов, либо
  2. Уменьшить минимально допустимую ширину полоски, либо
  3. Уменьшить вскрытия паяльной маски, чтобы увеличить ширину полоски до допустимого значения.

Это конструкторское решение принимается на основе ваших знаний о компоненте, а также об используемой технологии изготовления и сборки. Увеличение вскрытия паяльной маски, чтобы полностью удалить маску между контактными площадками означает, что повышается вероятность создания перемычек припоя между этими площадками, в то время как уменьшение вскрытий всё ещё оставляет полоски, ширина которых может быть приемлемой или нет, а также повышает вероятность появления проблем совмещения маски с контактными площадками.

В этом уроке мы применим комбинацию второго и третьего вариантов, уменьшив минимальную ширину полоски до допустимого для этой платы значения, а также уменьшив вскрытие паяльной маски, но только для контактных площадок транзисторов.

  1. Первым шагом является уменьшение допустимой ширины полоски. Для этого откройте диалоговое окно PCB Rules and Constraints Editor, затем в разделе Manufacturing найдите и выберите существующее правило Minimum Solder Mask Sliver под названием MinimumSolderMaskSliver.
  2. Значение, равное текущему расстоянию 0.22mm между контактными площадками, будет приемлемо для такого проекта, как этот. Измените значение Minimum Solder Mask Sliver на 0.22mm в области Constraints правила.

  3. Следующим шагом является добавление правила вскрытия паяльной маски только для транзисторов, которое уменьшит вскрытие маски до нуля. Это значит, что размер вскрытия маски будет соответствовать размеру контактной площадки, что сделает ширину полоски паяльной маски между контактными площадками равной расстоянию между этими площадками (0.22mm). Нажмите Mask в дереве в левой части диалогового окна PCB Rules and Constraints Editor, чтобы отобразить текущие правила Solder Mask Expansion; здесь должно быть одно правило под названием SolderMaskExpansion.
  4. Нажмите по нему, чтобы выбрать правило и отобразить его настройки; здесь должно быть определено значение расширения 0.102mm. Поскольку с нарушением здесь только контактные площадки транзисторов, то мы не будет изменять это значение, а создадим новое правило.
  5. Чтобы добавить новое правило Solder Mask Expansion, щелкните ПКМ по существующему правилу и выберите New Rule из контекстного меню. Будет создано новое правило SolderMaskExpansion_1; щелкните ЛКМ по нему, чтобы отобразить его настройки.
  6. Отредактируйте настройки правила, как показано ниже:
    • NameSolderMaskExpansion_Transistor
    • Where the Object MatchesFootprint ONSC-TO-92-3-29-11 (название посадочного места транзистора)
    • Expansion top / bottom0mm

  7. Нажмите Apply, чтобы применить изменения и оставить диалоговое окно PCB Rules and Constraints Editor открытым.

Функцией этого правила является обеспечение достаточного расстояния между объектами шелкографии и проводниками. Это требование может быть нарушено, если объект на слое шелкографии находятся близко к вскрытию паяльной маски, например, контактной площадки. Правило поддерживает проверку с учетом вскрытия в паяльной маске либо с учетом проводников, вскрытых паяльной маской.

  1. Для этого нарушения текущее значение измерения 0,175 мм близко к текущей настройке правила 0,25 мм, что видно в панели Messages или панели PCB Rules And Violations. Значение 0,175 мм является допустимым расстоянием; отредактируйте значение ограничения, как показано на изображении ниже.

    Измените зазор до значения 0,175 мм.Измените зазор до значения 0,175 мм.

  2. Нажмите OK, чтобы принять изменения и закрыть диалоговое окно PCB Rules and Constraints Editor.

Нарушения зазора

Страница правила проектирования: Clearance Constraint

Существует два пути исправления этих нарушений по зазору:

  • Уменьшить размер контактных площадок в посадочных местах транзистора, чтобы увеличить расстояние между контактными площадками, либо
  • Изменить правила, чтобы допустить меньший зазор между контактными площадками транзисторов.

Поскольку зазор 0,25 мм – это достаточно общее требование, и текущий зазор (0,22 мм) близок к этому значению, то хорошим решением в этой ситуации будет настройка правил таким образом, чтобы допустить меньшее значение зазора. Это можно сделать в существующем правиле Clearance Constraint, как показано ниже.

  • В области таблицы ограничений правила измените допустимый зазор между объектами TH Pad и TH Pad до значения 0.22mm. Чтобы отредактировать ячейку, выделите ее, затем нажмите F2.
  • Это решение применимо здесь, поскольку единственным другим компонентом со штыревыми выводами здесь является соединитель, контактные площадки которого находятся на расстоянии более 1 мм друг то друга. В ином случае было бы лучше добавить второе правило для зазора, действующее только на контактные площадки транзисторов, как это было сделано с правилами для вскрытия паяльной маски.

    Измените правило Clearance Constraint, чтобы допустить расстояние 0,22 мм между контактными площадками штыревых выводов.Измените правило Clearance Constraint, чтобы допустить расстояние 0,22 мм между контактными площадками штыревых выводов.

Нарушение правила Silk to Silk Clearance

Страница правила проектирования: Silk to Silk Clearance

Последними ошибками, которые здесь необходимо исправить, являются нарушения зазора между объектами шелкографии. Обычно они появляются, когда позиционные обозначения находится слишком близко к контурам соседних компонентов. В вашем проекте может не быть этих нарушений, в зависимости от того, насколько близко расположены компоненты друг к другу и перемещали ли вы позиционные обозначения. Перетащите позиционное обозначение с зажатой ЛКМ, чтобы изменить его положение – все объекты, кроме объектов компонента, позиционное обозначение которого перемещается, будут затенены. Переместите позиционное обозначение в новое место.

Перемещение позиционного обозначения ограничено текущей сеткой привязки. Если она в данный момент слишком крупная, нажмите Ctrl+G и введите новое значение сетки.

Переместите позиционные обозначения, которые вызывают нарушение правила для зазоров между объектами шелкографии.
Переместите позиционные обозначения, которые вызывают нарушение правила для зазоров между объектами шелкографии.

Перед формированием выходных документов всегда проверяйте, что у вас не осталось нарушений правил проектирования.

Отлично! Вы завершили создание конструкции платы и теперь готовы к формированию выходной документации. Перед этим давайте изучим возможности 3D в редакторе плат.


Просмотр платы в 3D

Редактору плат нужна графическая карта с поддержкой DirectX. Обратитесь к странице Системных требований для получения более подробной информации.

Мощной особенностью Altium Designer является возможность просмотра плат как трехмерных объектов. Для перехода в 3D запустите команду View » 3D Layout Mode или нажмите клавишу 3. Плата будет отображена в 3D. Плата этого урока показана ниже.

Вы можете свободно изменять масштаб вида, вращать его и даже перемещаться внутрь платы с помощью следующих инструментов:

  • Изменение масштаба – используйте Ctrl + Перетаскивание с зажатой ПКМ, Ctrl + Вращение колеса мыши или клавиши PgUp / PgDn.
  • Панорамирование – используйте перетаскивание с зажатой ПКМ или вращение колеса мыши (стандартное управление Windows).
  • Вращение – используйте Shift + Перетаскивание с зажатой ПКМ. Обратите внимание, что при нажатии на Shift под курсором появляется сфера со стрелками, как показано на изображении ниже. Вращение модели осуществляется относительно центра сферы (наведите курсор мыши, где необходимо разместить сферу, затем зажмите Shift) с помощью инструментов, приведенных ниже. Наведите мышь, чтобы подсветить нужный элемент, затем:
    • Переместите мышь с зажатой ПКМ, когда подсвечивается центральная точка – поворот в любом направлении.
    • Переместите мышь с зажатой ПКМ, когда подсвечивается горизонтальная стрелка – вращение вида вокруг оси Y.
    • Переместите мышь с зажатой ПКМ, когда подсвечивается вертикальная стрелка – вращение вида вокруг оси X.
    • Переместите мышь с зажатой ПКМ, когда подсвечивается сегмент окружности – вращение вида в плоскости Z.

Зажмите Shift, чтобы отобразить сферу в 3D-виде, затем перемещайте мышь с зажатой ПКМ для поворота.
Зажмите Shift, чтобы отобразить сферу в 3D-виде, затем перемещайте мышь с зажатой ПКМ для поворота.

Советы по работе в 3D

  • Нажмите L, чтобы открыть панель View Configuration, когда плата находится в режиме 3D Layout Mode. Здесь вы можете настроить опции отображения в 3D-рабочей области (на вкладке View Options в разделах General Settings и 3D Settings).
  • Цвета в 3D-отображении могут использовать режимы Realistic (реалистичный) или By Layer (цвета слоев, заданные в режиме 2D Layout Mode). Есть ряд заданных конфигураций (Configurations) для 3D. Изучите их в разделе General Settings на вкладке View Options панели View Configuration. Например, на изображении выше используется конфигурация Altium 3D Dk Green.
  • Есть элементы управления для настройки цветов слоев, а также толщины платы (масштаба по вертикали), что полезно при исследовании внутренних слоев и пересекающихся структур платы. Для 3D-слоев доступна настройка прозрачности; используйте ее, что «смотреть сквозь» объекты на этих слоях.
  • С помощью настройки Show 3D bodies вы можете отображать или скрывать 3D-модели.
  • Для отображения компонентов в 3D необходимы соответствующие 3D-модели в их посадочных местах. См. страницы по объекту Component и объекту 3D Body, чтобы узнать подробнее о добавлении 3D-моделей, а также обратитесь к странице Преимущества 3D в интеграции ECAD-MCAD, чтобы узнать о способах размещения моделей в посадочных местах.
  • Помимо веб-сайтов производителей компонентов, 3D-модели доступны:
    • На веб-сайтах сообществ, таких как 3D Content Central и GrabCAD, где конструкторы публикуют свои модели.
    • На многочисленных коммерческих сайтах, например PCB 3D.
  • Если нет подходящей STEP-модели, создайте собственные фигуры, разместив объекты 3D Body в посадочном месте в редакторе библиотек. Наведите курсор на изображение выше, чтобы отобразить учебную плату; на этот раз у транзисторов есть выводы, которые были добавлены следующим образом: была создана библиотека из компонентов на плате, в центр вывода транзистора (в 2D-режиме) добавлен квадратный элемент выдавливания объекта 3D Body, в панели Properties объекта 3D Body задана высота 3 мм и золотой цвет, и этот объект скопирован на две остальные контактные площадки. Щелкните ПКМ по посадочному месту в панели PCB Library, чтобы обновить все экземпляры этого посадочного места на плате.

Если вы планируете регулярно работать в 3D-режиме, то может быть проще использовать 3D-мышь, например SpaceMouse® от 3Dconnexion, которая значительно облегчает процесс перемещения и вращения платы в 3D-режиме просмотра.


Выходная документация

Главная страница: Подробнее о выходной документации

Теперь, когда вы завершили проект платы, вы готовы сформировать выходную документацию, необходимую для рассмотрения, изготовления и сборки платы.

Конечной целью является изготовление и сборка платы. Конечной целью является изготовление и сборка платы.Конечной целью является изготовление и сборка платы.

Выходные документы включают в себя файлы PDF 3D, с возможностью масштабирования, панорамирования и вращения изображения, а также управления видимостью цепей, компонентов и шелкографией, с помощью Adobe Acrobat Reader®.
Выходные документы включают в себя файлы PDF 3D, с возможностью масштабирования, панорамирования и вращения изображения, а также управления видимостью цепей, компонентов и шелкографией, с помощью Adobe Acrobat Reader®.

Доступные типы выходных документов

Поскольку в сфере производства печатных узлов существует множество технологий и методов, система позволяет создавать различные типы выходных документов для различных целей:

Документы для изготовления печатного узла

  • Сборочные чертежи – содержат информацию о положении и ориентации компонентов на каждой стороне платы.
  • Файлы Pick and Place – используются для автоматической установки компонентов на плату.

Выходные документы

  • Распечатки платы – задайте любое количество распечаток (страниц) с любыми настройками слоев и отображения примитивов. Используйте это для создания распечаток, например, сборочных чертежей.
  • 3D-распечатки платы – отображает плату в трехмерном виде.
  • 3D-видео платы – сформированное простое видео платы на основе 3D ключевых кадров, заданных в панели PCB 3D Movie Editor редактора плат.
  • PDF 3D – сформированный вид платы 3D PDF с полной поддержкой масштабирования, панорамирования и поворота в Adobe Acrobat®. PDF включает в себя дерево модели, которое позволяет управлять отображением цепей, компонентов и шелкографии.
  • Распечатки схем – схемы, используемые в проекте.

Выходные документы для изготовления печатной платы

  • Составные чертежи сверловки – положения и размеры отверстий (отображаемые с помощью символов) платы на одном чертеже.
  • Чертежи/руководства по сверловке – положения и размеры отверстий (отображаемые с помощью символов) платы на отдельных чертежах.
  • Распечатки финальной графики – объединяют различные выходные документы для изготовления в одном документе для печати.
  • Файлы Gerber – формируют информацию для изготовления в формате Gerber.
  • Файлы Gerber X2 – новый стандарт, который содержит в себе проектную информацию высокого уровня с обратной совместимостью оригинального формата Gerber.
  • Файл IPC-2581 – новый стандарт, который содержит в себе проектную информацию высокого уровня в одном файле.
  • Файлы NC Drill – формируют технологическую информацию для использования станками автоматизированной сверловки.
  • ODB++ – формирует технологическую информацию в формате базы данных ODB++.
  • Распечатки экранных слоев – формируют чертежи внутренних и разделительных слоев.
  • Распечатки паяльной маски/пасты – формируют чертежи паяльной маски и паяльной пасты.
  • Отчет о контрольных точках – формирует выходной документ о контрольных точках (тестпойнтов) проекта в различных форматах.

Выходные документы с данными о списке соединений

  • Списки соединений (нетлисты) описывают логическую связность между компонентами проекта. Они полезны для передачи проектных данных в другие системы проектирования электроники. Поддерживается множество форматов нетлиста.

Выходные отчеты

  • Состав изделия – создает список компонентов и их количества в различных форматах, требуемых для изготовления печатного узла.
  • Перекрестный отчет о компонентах – формирует список компонентов на основе схем проекта.
  • Отчет об иерархии проекта – формирует перечень исходных документов, используемых в проекте.
  • Отчет о цепях с одним выводом – формирует перечень цепей только с одним выводом.
  • Простой перечень компонентов – формирует файлы состава изделия в текстом формате или формате CSV (значения, разделенные запятыми).
  • Проверка электрических правил – формирует отчет о результатах проведения проверки электрических правил.

Формирование отдельных выходных документов и файлы Output Job

Главная страница: Подготовка множества выходных документов в файле OutputJob

В редакторе плат есть два отдельных механизма настройки и формирования выходных документов:

  1. По отдельности – настройки выходных документов каждого типа сохраняются в файле проекта. Вы выборочно формируете необходимый выходной документ с помощью команд подменю Fabrication Outputs, Assembly Outputs и Export (доступ к которым осуществляется из меню File) и меню Reports.
  2. С помощью файла Output Job – настройки выходных документов каждого типа сохраняются в файле Output Job, который является отдельным файлом настроек выходных документов, поддерживающим все доступные типы выходных документов. Затем эти документы можно сформировать вручную или в рамках процесса выпуска.

    Файл Output Job позволяет настроить выходные документы каждый типа, с их названиями, форматами и расположениями. Файлы Output Job можно копировать между проектами.
    Файл Output Job позволяет настроить выходные документы каждый типа, с их названиями, форматами и расположениями. Файлы Output Job можно копировать между проектами.

Несмотря на то, что при настройке отдельных выходных документов, получаемых с помощью меню File и Reports, используются те же самые диалоговые окна, что и в файле Output Job, эти настройки независимы друг от друга, и при если вы решили изменить подход к формированию выходных документов, эти настройки необходимо провести снова.

Файл OutputJob, или OutJob, содержит назначение каждого выходного документа (в списке слева) выходному контейнеру (в списке справа). Настройки выходного документа определяют, что вы хотите сформировать (двойной щелчок ЛКМ для настройки); контейнер определяет, куда выходной документ должен быть выведен (двойной щелчок ЛКМ по иконке или щелчок ЛКМ по ссылке Change). В файл OutJob может быть добавлено любое количество выходных документов, а выходные документы могут быть назначены отдельным или общим контейнерам.

  1. В панели Projects щелкните ПКМ по названию проекта и выберите Add New to Project » Output Job File. Будет открыт и добавлен в проект новый файл OutJob.
  2. Сохраните файл OutJob под названием Multivibrator. Он автоматически будет сохранен в папку с файлом проекта.
  3. Чтобы добавить новый выходной файл Gerber, нажмите ссылку в разделе Fabrication Outputs файла OutJob и выберите Gerber » [PCB Document], как показано на изображении ниже. Если вы выберите [PCB Document], будет автоматически выбран документ платы проекта. Выбрав эту опцию, вы также сможете легко копировать файл OutJob между проектами, поскольку эту настройку не придется изменять. Если проект содержит множество документов плат, будет необходимо выбрать определенную плату.
  4. Был добавлен документ Gerber, который будет настроен вскоре.

Настройка файлов Gerber

Страница диалогового окна: Gerber Setup

  • На данный момент Gerber продолжает оставаться самым распространенным форматом передачи данных между проектированием и производством, в то время как Gerber X2 и ODB++ всё больше набирают популярность.
  • Каждый файл Gerber соответствует одному слою физической платы: верхний сигнальный слой, нижний сигнальный слой, верхняя паяльная маска и т.д. Прежде чем отправлять необходимые для изготовления проекта файлы рекомендуется проконсультироваться с производителем ваших плат, чтобы узнать о его требованиях.
  • Если плата содержит отверстия, то также необходимо сформировать файл NC Drill, с использованием тех же настроек единиц измерения, разрешения и размещения на слое.
  • Настройка файлов Gerber осуществляется в диалоговом окне Gerber Setup, которое открывается с помощью команды File » Fabrication Outputs » Gerber Files редактора плат или путем добавления выходного документа Gerber в раздел Fabrication Outputs файла Output Job и последующим двойным щелчком ЛКМ по нему.

    Настройка выходных документов Gerber в диалоговом окне Gerber Setup.Настройка выходных документов Gerber в диалоговом окне Gerber Setup.

  1. В файле OutJob дважды щелкните ЛКМ по документу Gerber Files, добавленному на предыдущем шаге. Будет открыто диалоговое окно Gerber Setup, как показано на изображении выше.
  2. Поскольку плата проектировалась с использованием метрических единиц, задайте опции Units значение Millimeters на вкладке General диалогового окна.
  3. Наименьшей единицей, используемой в плате, является 0,25 мм для трассировки и зазоров, но поскольку точки отсчета большинства компонентов находятся в их геометрических центрах (и они размещены в сетки 1 мм), то некоторые контактные площадки находятся в сетке 0,01 мм. На вкладке General задайте опции Format значение 4:3. Это гарантирует соответствие разрешения выходных данных этим размерам сетки. Обратите внимание, что файл NC drill всегда должен быть настроен с использованием тех же самых значений опций Units и Format.
  4. Переключитесь на вкладку Layers, нажмите кнопку Plot Layers и выберите Used On. Обратите внимание, что здесь можно включить механические слои, но их обычно не добавляют в Gerber, если только они не содержат данные, необходимые для других слоев, например, маркеры выравнивания положения, которые требуются в каждом файле Gerber. В этом случае, для включения этих данных с другим слоем используются опции Mechanical Layer в правой части диалогового окна. Отключите все механические слои, которые были включены в разделе Layers to Plot диалогового окна.
  5. Нажмите по вкладке Advanced диалогового окна. Убедитесь, что опции Position on Film задано значение Reference to relative origin. Обратите внимание, что файл NC drill всегда должен быть настроен с использованием тех же значений опций Units, Format и Position on Film, что и в файлах Gerber, иначе положения отверстий будут не совпадать с положениями контактных площадок!
  6. Нажмите OK, чтобы принять остальные настройки по умолчанию и закрыть диалоговое окно Gerber Setup.
  7. Теперь, когда настройки Gerber произведены, следующим этапом будет настройка их названий и расположений. Это производится путем их назначения одному из контейнеров Output Container в правой части файла OutJob. Для отдельных файлов в их собственных форматах используется контейнер Folder Structure. Выберите Folder Structure в списке контейнеров, затем щелкните ЛКМ по радиокнопке документа Gerber Files в столбце Enabled, чтобы назначить этот документ выбранному контейнеру, как показано ниже.

    Файл OutJob, настроенный на формирование выходных документов Gerber, NC Drill и Pick and Place в качестве отдельных файлов.
    Файл OutJob, настроенный на формирование выходных документов Gerber, NC Drill и Pick and Place в качестве отдельных файлов.

  8. Финальным этапом является настройка контейнера. Для этого нажмите по ссылке Change контейнера, чтобы открыть диалоговое окно Folder Structure Settings. В верхней части окна находятся элементы для настройки выходных документов как управляемых выпуском или управляемых вручную; задайте здесь Manually Managed. Изучите другие настройки. При выборе других настроек изменения в названиях и структуре папок будут отображены в нижней части окна.
  9. Нажмите кнопку Advanced в нижней части диалогового окна Folder Structure Settings и включите Gerber Output в список CAMtastic Auto-Load Options. Нажмите OK, чтобы закрыть диалоговое окно.
  10. Чтобы сформировать файлы Gerber, нажмите по ссылке Generate Content в области Container документа OutJob.
  11. Файлы будут сформированы и открыты во встроенном редакторе CAM, который можно использовать для финальной проверки файлов CAM перед их отправкой в производство. Закройте файл CAM без сохранения.
  12. Сохраните и закройте файл OutputJob.

Настройка состава изделия

Главная статья: Управление составом изделия с ActiveBOM

В конечном итоге, каждый компонент, используемый в проекте, должен содержать в себе подробную информацию по цепочке поставок. Вместо того, чтобы добавлять эту информацию каждому компоненту или формировать ее в таблице Excel после проектирования, добавлять ее на любом этапе процесса проектирования в документ ActiveBOM (*.BomDoc).

ActiveBOM – это редактор управления компонентами, включенный в Altium Designer, который используется для решения следующих задач:

  • Управление данными о компонентах в составе изделия, в том числе добавление дополнительных элементов состава, такие как несмонтированная печатная плата, клей, монтажное оборудование и т.п.
  • Добавление дополнительных столбцов, например столбец номеров строк, для соответствия требованиям производства.
  • Назначение соответствия каждого компонента в проекте физическому компоненту от производителя.
  • Проверка доступности и цены каждого компонента для заданного количества производимых изделий.
  • Расчет стоимости производства заданного количества изделий.

ActiveBOM используется для назначения соответствия каждого компонента в проекте физическому компоненту от производителя.ActiveBOM используется для назначения соответствия каждого компонента в проекте физическому компоненту от производителя.

Возможность добавления информации о цепочке поставок непосредственно в состав изделия меняет роль документа BOM в проекте платы. Это больше не просто выходной файл – ActiveBOM ставит процесс управления компонентами на один уровень с процессами формирования схемы и конструирования платы, и документ BomDoc редактора ActiveBOM становится источником данных о составе изделия для всех соответствующих выходных документов проекта. Использование ActiveBOM является рекомендуемым подходом к управлению составом изделия.

ActiveBOM запрашивает информацию о цепочке поставок в режиме реального времени, используя поставщиков Part Provider, включенных на странице Data Management — Part Providers диалогового окна Preferences. Поскольку данные обновляются в режиме реального времени, то доступность компонентов этого учебного проекта может изменяться со временем, так же как и список доступных поставщиков. По этим причинам полученный вами результат может отличаться от результатов, показанных и описанных в этом уроке.

Чтобы включить документ BomDoc в этот урок:

  1. Выберите команду File » New » ActiveBOM Document из главного меню. Обратите внимание, что в проект платы может быть включен только один документ BomDoc.
  2. Будет создан документ BomDoc, в элементах BOM Item которого перечислены все компоненты, используемые в этом уроке. Настройка BomDoc осуществляется в панели Properties, где задается количество изготавливаемых изделий, валюта, цепочка поставок, видимые параметры элементов и прочие настройки. Ознакомьтесь с параметрами, доступными на двух вкладках документа Properties. Обратите внимание, что в верхней части панели доступно поле поиска, которое удобно использовать, чтобы найти какой-либо элемент управления или параметр.
  3. Посмотрите на вкладку Columns панели. Обратите внимание, чтобы доступные для включения в BOM данные могут браться из разных источников, которые управляются с помощью кнопок Sources.
  4. Информация о компонентах приведена в области главной таблицы документа BomDoc. По умолчанию здесь находится столбец под названием Line #. Нажмите кнопку Set Line Numbers ( ), чтобы заполнить этот столбец.
  5. Поскольку компоненты были размещены из панели Manufacturer Part Search, то они уже включают в себя информацию о цепочке поставок. При щелчке ЛКМ по компоненту в таблице BOM Items его информация о цепочке поставок будет отображена в нижней части документа BomDoc, как показано на изображении выше. Каждая строка, отображенная здесь, называется Solution (Решение), где слева показан компонент производителя + его номер MPN, а в плитках справа – доступные поставщики + номера SPN.
  6. Обратите внимание, что таблица BOM Items включает в себя столбец Status справа. Наведите курсор мыши на иконку статуса для получения информации о любых обнаруженных проблемах.
  7. В иконках статуса должно быть показано, что каждый элемент содержит ошибку no MPN ranked. Это означает, что конструктор еще не проверил выбранные компоненты (номера MPN) и не указал, что они его устраивают. Принятие номера MPN осуществляется назначением ему ранга (как показано на изображении выше). Сделайте это для каждого элемента, который не показывает ошибок других типов. Возможно, что у транзисторов будут ошибки другого типа, которые будут устранены вскоре.
  8. Статус четырех из пяти элементов BOM должен измениться на зеленый ( ), что говорит о том, что ошибок у этих элементов больше нет и они готовы к заказу.
  9. Статус каждого элемента проверяется в соответствии с текущими настройками BOM Checks в панели Properties. В списке BOM Checks приведены все проверки состава изделия с нарушениями. Настройка доступных проверок BOM осуществляется в диалоговом окне Bom Checks. Чтобы открыть это диалоговое окно, нажмите кнопку под списком BOM Checks.
  10. Выберите элемент транзистор; он может быть помечен как Not Recommended For New Designs (NRFND, не рекомендуется для использования в новых проектах). Для элемента без назначенного номера MPN или для элемента с назначенным MPN, но без поставщиков компонента, вы можете создать ссылку на производителя Manufacturer Link.

    Возможность Manufacturer Link позволяет связать неуправляемый компонент либо управляемый компонент с проблемами цепочки поставок с вашим предпочтительным компонентом от производителя. С помощью этой возможности вы можете максимально эффективно использовать Altium Parts Provider для всех компонентов в проекте непосредственно в документе BomDoc, добавив всю динамическую информацию о поставке, цене и доступности. Ссылка Manufacturer Link сохраняется в документе BomDoc.

  11. Чтобы добавить Manufacturer Link, выберите транзистор в таблице, нажмите кнопку Add Solution и выберите Create/Edit Manufacturer Links из появившегося меню.
  12. Будет открыто диалоговое окно Edit Manufacturer Links. Нажмите кнопку Add, чтобы добавить новую ссылку Manufacturer Link; будет открыто диалоговое окно Add Part Choices, которое используется для поиска подходящего компонента от производителя, а также проверки поставщиков, цен и доступности.

    Поле Search в диалоговом окне Add Part Choices автоматически заполняется данными из компонента, выбранного в BomDoc. Поле, на основе которого осуществляется поиск, определяется опцией Suggested Keywords на странице Data Management — Part Providers диалогового окна Preferences. По умолчанию поиск производится по полю Comment; это можно изменить при необходимости.

  13. Если поиск возвращает только тот же компонент, который уже используется, попробуйте расширить поиск, например, ищите по BC547C.
  14. Обращайте внимание на вертикальную цветную полоску с краю столбца Manufacturer Part, которая обозначает статус жизненного цикла компонентов. В идеале необходимо выбрать компонент с зеленым статусом (Volume Production – массовое производство). Учтите, что нет необходимости в компоненте с моделями, поскольку у вас уже есть символ на схеме и посадочное место на плате.
  15. Выберите компонент со статусом жизненного цикла Volume Production (наведите курсор мыши на вертикальную цветную полоску, чтобы отобразить статус) и доступными запасам, затем нажмите OK, чтобы принять этот компонент.
  16. Вы вернетесь в диалоговое окно Edit Manufacturer Links. Нажмите OK, чтобы закрыть это диалоговое окно и вернуться в документ BomDoc.
  17. В области Solutions документа BomDoc будет показано два решения: изначальный компонент, используемый в проекте, и решение, которое было добавлено только что. Решения приводятся в порядке, в котором они будут использоваться в составе изделия. Используйте ранжирование, чтобы сделать выбранный компонент приоритетным решением, наведя курсор на звездочки и щелкнув ЛКМ по нужному рангу (как показано ниже).
  18. Теперь все компоненты включают в себя информацию о цепочке поставок. Сохраните документ BomDoc и проект. Теперь вы готовы сформировать BOM.

Создайте Manufacturer Link, чтобы выбрать компонент непосредственно в документе BomDoc, когда компонент на схеме не содержит подходящей информации о цепочке поставок.Создайте Manufacturer Link, чтобы выбрать компонент непосредственно в документе BomDoc, когда компонент на схеме не содержит подходящей информации о цепочке поставок.

Формирование BOM

Страница диалогового окна: Report Manager

Формирование выходного файла BOM осуществляется с помощью Report Manager, который является настраиваемым модулем формирования отчетов во множестве форматов, в том числе: текст, CSV, PDF, HTML и Excel. Отчеты BOM в формате Excel можно формировать на основе одного из предопределенных шаблонов или пользовательского шаблона. Отчеты BOM в формате Excel также можно формировать без установленного Microsoft Excel; выберите опцию MS Excel File в выпадающем списке File Format.

  • Report Manager формирует отчет BOM из диалогового окна Bill of Materials For Project, доступ которому осуществляется одним из следующих способов:
    • Команда Reports » Bill of Materials из редактора плат
    • Добавление Bill of Materials в раздел Report Outputs файла Output Job
    • Добавление документа BomDoc в проект и запуск команды Reports » Bill of Materials из документа BomDoc.
  • Если проект содержит в себе документ BomDoc, то по умолчанию информация о компонентах в Report Manager представлена тем же образом, что и в BomDoc. Столбцы можно добавлять и удалять с помощью вкладки Columns в разделе Properties диалогового окна.
  • Если проект не включает в себя документ BomDoc, вкладка Columns содержит в себе дополнительный раздел, который определяет, как группируются компоненты. Группирование осуществляется перетаскиванием атрибутов компонентов в область Drag a column to group диалогового окна.
  • Главная таблица диалогового окна содержит в себе данные, которые будут записаны в BOM. В этой области вы можете изменить порядок столбцов их перетаскиванием, отсортировать данные по этому столбцу щелчком ЛКМ по его названию, сделать сортировку по нескольким параметрам щелчком ЛКМ по названиям столбцов с зажатой клавишей Ctrl и отфильтровать данные на основе значений с помощью выпадающего списка в заголовке каждого столбца.
  • По умолчанию источником данных для BOM являются документы схемы. Доступны различные источники Sources. Используйте кнопки на вкладке Columns в области Properties диалогового окна, чтобы включить другие источники. Например, если включить PCB Parameters, вы сможете добавлять такие данные, как координаты компонентов и на какой стороне платы они находятся.

    Информация в Report Manager представляется в том же виде, что и в документе BomDoc, если проект содержит BomDoc.Информация в Report Manager представляется в том же виде, что и в документе BomDoc, если проект содержит BomDoc.

Соответствие данных проекта и сформированного отчета BOM

Главная страница: Добавление данных проекта в отчет BOM в формате Excel

Отчет BOM в формате Excel, сформированный непосредственно в PDF.Отчет BOM в формате Excel, сформированный непосредственно в PDF.

Данные проекта могут быть переданы из Altium Designer в отчет Bill Of Materials путем указания шаблона Excel, который включает в себя специальные конструкции.

При создании шаблона Bill of Materials в Excel можно использовать сочетание полей и столбцов для определения необходимой структуры. Несколько примеров шаблонов включены в систему, и они находятся в папке Templates пользовательских файлов установки. Для получения более подробной информации о доступных полях обратитесь к странице Добавление данных проекта в отчет BOM в формате Excel. Обратите внимание, что эти поля нужно определять выше или ниже области Column шаблона.

Финальным этапом является сохранение всей работы. Выберите команду File » Save All, чтобы сохранить все измененные файлы.

Поздравляем! Вы, начав с пустого листа схемы, получили проект платы с набором выходных документов. Таким образом, вы прошли весь процесс проектирования в Altium Designer!

Altium Designer сочетает в себе множество функциональных возможностей, в том числе:

  • Передовые технологии трассировки
  • Инструменты проектирования современных гибко-жестких печатных плат
  • Мощные инструменты управления проектными данными
  • Эффективные инструменты повторного использования проектных данных
  • Оценка и отслеживание стоимости в режиме реального времени
  • Динамические данные о цепочке поставок
  • Трехмерная визуализация и проверка зазоров
  • Гибкие инструменты управления утвержденными версиями

Все эти инструменты доступны в уникальной единой среде проектирования, обеспечивающей высокую производительность. Унификация Altium Designer позволяет беспрепятственно переходить между различными проектными областями. Но изучение широких возможностей системы может показаться сложной задачей на пути к эффективному проектированию. В этом базовом разделе документации по Altium Designer представлена вся информация, необходимая для быстрого освоения системы. Документация Altium Designer буквально является «древом познания», которое предоставляет как обзорную информацию и пошаговые инструкции, так и более детальные разделы.

Документация по Altium Designer разделена на версии. Вы можете переключиться на нужную версию вручную в своем веб-браузере либо открыть нужную версию автоматически из Altium Designer. Нажмите F1, чтобы открыть нужный раздел документации для той версии, в которой вы в данный момент работаете.

Если вы еще не являетесь нашим клиентом, почему бы не взять тест-драйв Altium Designer? Заполните простую форму и получите бесплатную полнофункциональную версию Altium Designer на 15 дней. Вы сможете оценить все возможности Altium Designer, без технических ограничений, получив доступ к лучшему в мире средству проектирования печатных плат. Нажмите по ссылке ниже, заполните форму, и вы поймете, почему всё больше разработчиков и конструкторов выбирают решения Altium, а не другие продукты!

Бесплатная пробная версия Altium Designer


Быстрый старт в проектировании печатных плат (и в Altium Designer!)

Вы новичок в проектировании плат или в использовании Altium Designer, и не знаете, с чего начать? В этом уроке вы пройдете весь процесс от пустого листа схемы до создания файлов для производства платы простого автоколебательного мультивибратора с девятью компонентами. Как показано на схеме слева, здесь используется два NPN-транзистора общего назначения.

В уроке затронуто множество аспектов системы, с которыми вам необходимо ознакомиться для вашей повседневной работы.


Изучение Altium Designer

Altium Designer включает в себя все составляющие, необходимые для процесса разработки электронных устройств. Редактирование документов, компиляция и обработка осуществляется в единой среде Altium Designer. В основе Altium Designer лежит платформа интеграции X2, которая объединяет различные компоненты системы (их набор зависит от приобретенной лицензии) в интуитивно понятном интерфейсе пользователя. Чтобы добиться еще большей гибкости, вы можете полностью настроить среду под свой стиль работы.

Вы можете изучить интерфейс и функции Altium Designer, просто экспериментируя с системой во время создания нового проекта, но лучший вариант – начать с ознакомления с документацией!

Переходите с другой системы проектирования? Не проблема – перейдите в раздел Взаимодействие с другими инструментами проектирования. Не важно, переходите ли вы с PADS®, EAGLE™, Expedition®, xDX Designer®, Allegro® или другой поддерживаемой системы – в Altium Designer есть инструменты импорта и/или экспорта для простого перехода на решения Altium.


Расширение возможностей Altium Designer

Система управления Altium Designer позволяет настроить ваше программное обеспечение в любое время после установки. Настройка включает в себя не только обновление базового функционала (т.н. системные ресурсы), но и возможность устанавливать, обновлять и удалять функциональные возможности с помощью дополнительных программных модулей (Extensions).

По сути, дополнительный программный модуль расширяет функциональные возможности программного обеспечения. Базовый набор функций и возможностей, который устанавливается и управляется как часть изначальной установки, называется системными ресурсами (System Resources). Кроме того, доступен ряд опциональных программных модулей (Optional Extensions) – функциональных возможностей, которые устанавливаются и удаляются пользователем по мере необходимости. В этом и состоит концепция дополнительных программных, которая позволяет управлять набором функциональных возможностей системы в зависимости от потребностей в инструментах проектирования. Расширением может быть новое приложение для импорта/экспорта данных, инструмент создания условно-графических обозначений или поддержка взаимодействия с механическими САПР. Иными словами, дополнительный программный модуль – это пакет, который развивает и улучшает набор возможностей системы.

Дополнительные программные модули могут быть как бесплатными, так и платными (предоставляться по подписке), поставляться Altium или сторонними компаниями. Кроме того, с помощью дополнительного программного модуля Altium Developer вы можете самостоятельно улучшать возможности Altium Designer, используя Altium Designer SDK (Software Development Kit – набор средств разработки) для создания собственных расширений.

Дополнительную функциональность системы, которую предоставляют расширения, можно просмотреть, установить и затем управлять ей на странице Extensions & Updates (Расширения и обновления), которая открывается командой Extensions and Updates из меню  в верхней правой части рабочего пространства.


Сервер управляемых данных

Сервер управляемых данных гармонично работает с Altium Designer, что предоставляет элегантное решение для надежного управления проектными данными. Этот сервер не только обеспечивает надежное и безопасное хранение данных, но также позволяет управлять версиями проектов и, таким образом, отслеживать проектные изменения, без перезаписи утвержденных ранее проектов. Сервер также управляет жизненным циклом данных, что позволяет сразу увидеть, на каком этапе находятся данные и использовать их соответствующим образом.

Сервер используется для управления любыми управляемыми данными, такими как компоненты, доменные модели, листы схем и шаблоны. Конечно же, вы можете создавать целые проекты непосредственно на сервере и управлять ними здесь.

С помощью набора повторно используемых проектных «строительных блоков», хранимых на сервере, вы можете начинать новые проекты с уверенностью в том, что все модели, компоненты и элементы проекта более высокого уровня были утверждены для использования, что избавляет вас от необходимости изобретать велосипед. Сервер одновременно является и источником, и местом сохранения данных об элементах проектирования, так что каждый новый проект использует те элементы, которые выпущены на сервер и управляются им. Создавая проекты только с теми элементами, которые управляются сервером, вы обеспечиваете их целостность.

Altium Designer может работать со следующими типами сервера управляемых данных:

  • Altium Concord Pro (размещаемый на Altium 365)
  • Altium Concord Pro

Если вам необходимо гибкое решение для управляемых рабочих процессов и интеграции с корпоративными системами, перейдите на Altium NEXUS. Altium NEXUS является готовым решением для организованного совместного проектирования печатных плат, основанном на рабочих процессах. Это решение включает в себя возможности проектирования, управления данными о компонентах, управления проектными данными, создания рабочих процессов и управления ими и многое другое. Как готовое решение, Altium NEXUS состоит из готовых настраиваемых модулей, и его внедрение осуществляется экспертами Altium.


Настройки Altium Designer

В Altium Designer вы можете настроить различные области системы из единого расположения. Эти глобальные настройки будут применены ко всем проектам и связанным документам.

Настройка осуществляется в диалоговом окне Preferences (нажмите  в правом верхнем углу рабочего пространства). Настройте область системы с помощью элементов управления и параметров на открывшейся странице, как вам необходимо. Настройка может сочетать требования политики вашего предприятия и ваши личные предпочтения для рабочей среды.

В диалоговом окне Preferences представлен ряд полезных инструментов для настройки среды необходимым образом, в том числе:

  • Возможность импорта настроек из предыдущей версии программы.
  • Возможность сохранения и загрузки настроек с помощью файла *.DXPPrf.
  • Возможность сброса параметров и элементов управления до значений по умолчанию на активной странице настроек или на всех страницах.

И если вы используете сервер управляемых данных, вы можете выпустить настройки Altium Designer на этот сервер в качестве целевого объекта (и его ревизии). После того как настройки выпущены и состояние их жизненного цикла изменено на готовое к использованию, эти настройки можно применить на других установленных системах.


Справка по правилам проектирования плат

Для определения требований к проекту редактор плат Altium Designer использует концепцию правил проектирования. Эти правила формируют «набор инструкций», которым будет следовать редактор плат. Они применяются для различных аспектов конструирования: ширина проводников, зазоры, стили соединений, переходных отверстий и так далее – и множество правил можно отслеживать в режиме реального времени с помощью динамической проверки проектных правил (Online DRC).

Правила проектирования применяются к необходимым объектам согласно заданной иерархии. Можно настроить множество правил одного типа, и может получиться так, что к одному объекту применяется более одного правила. В этом случае возникает конфликт правил, который решается настройками приоритетов. Система просматривает правила, начиная от правил с более высоким приоритетом, и применяет первое правило, область действия которого соответствует проверяемому объекту.

После того, как набор правил задан, вы можете успешно создать проект платы с различными, зачастую строгими требованиями к ней. Поскольку редактор плат «работает по правилам», уделите время на настройку правил, и вы сможете работать эффективно, точно зная, что ваш проект соответствует требованиям.


Справка по нарушениям компиляции проекта

Компиляция является частью процесса создания корректного списка цепей проекта. В действительности, результатом этого процесса является унифицированная модель данных проекта – единая модель данных, доступ к которой осуществляется из различных областей единой среды проектирования Altium Designer. В ходе компиляции можно проверить связи на схеме на соответствие правилам, заданных в настройках проекта на вкладках Error Reporting (Отчет об ошибках) и Connection Matrix (Матрица соединений).

В этом разделе документации Altium Designer представлены полные описания возможных нарушений, которые могут быть в документах при компиляции проекта.


Справка по языку запросов

В основе редакторов схем и плат Altium Designer лежит мощный обработчик запросов. Вы можете ввести запрос, чтобы точно выбрать только те объекты, которые необходимы. Запрос – это строка, которую вы вводите, используя определенные ключевые слова и синтаксис, и после чего получаете требуемые объекты.

Обычно запросы создаются на панели Filter, но они также используются для определения областей действия правил проектирования. Если вы изучите язык запросов, его функции, ключевые слова и синтаксис, вы сможете вводить выражения напрямую, но вы также можете использовать Query Helper (Помощник по запросам). В окне этого помощника содержится полный список функций и ключевых слов запросов, необходимые элементы управления и средство проверки синтаксиса.

В начале может показаться, что использовать язык запросов сложно, но со временем вы оцените его эффективность и найдете собственный стиль построения выражений для поиска необходимого набора объектов. Чтобы ускорить этот процесс, есть информация по каждой функции запросов. Достаточно выделить или щелкнуть внутри любого ключевого слова в Query Helper, на панели Filter или в поле Full Query (Полный запрос) редактора правил и нажать F1, и откроется соответствующая страница документации.


Справка по ресурсам

Единая среда проектирования Altium Designer состоит из множества служб, подключенных к базовой платформе. Как и базовая платформа, эти службы предоставляют доступ к ресурсам системы – ее компонентам и функциям.

Эти ресурсы представляют собой команды, диалоговые окна, панели и т.п. Информация по ним содержится в следующих разделах документации:

  • Справка по объектам
  • Справка по панелям
  • Справка по командам
  • Справка по диалоговым окнам

Чтобы получить информацию по какому-либо определенному ресурсу, используйте следующие способы:

  • В системе наведите курсор на команду меню, кнопку панели инструментов, диалоговое окно, панель или объект и нажмите F1.
  • В документации найдите нужный раздел в дереве навигации либо воспользуйтесь поиском с помощью иконки  на панели слева.

Обязательно ознакомьтесь с использованием F1 в Altium Designer. Наведите курсор мыши на какой-либо элемент в Altium Designer – кнопку, команду, диалоговое окно, панель, объект – и нажмите F1, чтобы открыть документацию на него. F1 также работает на различных страницах диалоговых окон Preferences и PCB Rules and Constraints Editor. . И если вы запустили какую-либо команду, например, для размещения компонента, но вы не помните, как повернуть его, нажмите Shift+F1 для отображения списка контекстно-зависимых сочетаний клавиш.


Веб-сайт Altium – быстрые ссылки

В данной статье подробно описан процесс создания электрических схем и печатных плат с помощью программного комплекса Altium Designer.
Мы изучим структуру и возможности этой программы.

Основные горячие клавиши:
Space – поворот компонента или угла;
G – изменение шага сетки; 
Ctrl+прокрутка колеса мыши – масштабирование изображения;
Нажатая клавиша Shift позволяет выделять несколько компонентов;
Нажатая клавиша Ctrl позволяет переместить компонент без отрыва от цепи или трассы;

Для того, чтобы включить русский язык выполнить следующие команды: DXF / Preferences / System – General / Localized resources – ставим галочку и нажимаем ОК.

1. НАЧАЛО РАБОТЫ С Altium Designer

Запустить Altium Designer и создать файл проекта. Для этого выполнить команды File / New / Project/ PCB Project (рис.1).


Рис.1.

Слева на экране должно появиться окно менеджера проектов Рrojects.
Далее необходимо сохранить новый проект. Для этого щелкнуть правой кнопкой мыши (далее ПК) по названию создаваемого проекта и выполнив команду «Save Project As…» сохранить проект с названием «Печатная плата» (рис.2).

Рис.2.

Затем вновь нажать ПК и выполнить команды «Add New to Project / Schematic». На рабочем поле открывается форматка для выполнения чертежа принципиальной схемы (рис.3).


Рис.3.

Точно также сохраняем схему. Щелкнуть ПК по названию проекта «Sheet1.SchDo». В выпавшем меню выбрать «Save Project As…» и в открывшемся
окне набрать название «Схема электрическая принципиальная» 
После этого необходимо добавить файл проекта печатной платы.
Для этого щелкнуть ПК по название проекта, выбрать « Add New to Project / PCB» (рис. 4).


Рис. 4.

На рабочем поле появится окно черного цвета. Этот документ также надо сохранить. Для этого щелкнуть ПК по PCB1.PcbDoc, в выпавшем меню выбрать «Save Project As… », назвать его «Плата печатная» (рис. 5). 


Рис.5.

Справа от названия проекта Печатная плата АД.PrjPcb красный листок. Это означает, что проект надо сохранить. Для этого выполнить команды «Файл / Сохранить всё».

Добавим  библиотеки в созданный проект. Для этого, щелкнув ПК по названию проекта, в выпадающем меню выполнить команды «Add New to Project / Schematic Library» (рис. 6).


Рис.6.

Появится рабочее поле редактора условных графических изображений электро -радиоэлементов. Сохраним этот документ под названием «Библиотека элементов» 

Теперь добавим в проект библиотеку посадочных мест элементов. 
Для этого щелкнуть ПК по название проекта, выбрать « Add New to Project / PCB Library » (рис. 7). 


Рис.7.

Сохраним созданный документ под названием «Библиотека посадочных мест».
Теперь сохраним весь проект командой «Файл / Сохранить всё».
Дерево проекта с созданными файлами выглядит следующим образом (рис. 8). 


Рис.8.

Если вы случайно закрыли окно Project, то открыть его можно щелкнув в нижней части экрана кнопку System и в появившемся окне нажать на слово Project  (рис. 9).


Рис.9.

2. СОЗДАНИЕ БИБЛИОТЕКИ ЭЛЕМЕНТОВ.

Выполним основные настройки редактора. Для этого в рабочем поле редактора щелкнем правой кнопкой мыши и в выпадающем меню выполним команды « Опции / Опции документа». Откроется окно «Рабочая область редактора библиотек» (рис. 10). Во вкладках «Настройки редактора» и «Ед.изм.» произвести настройки как на рис.10.


Рис.10.

Теперь можно настроить шаг сетки: для этого выполнить команды « Опции /Настройка редактора схем». В окне Настройки щелчком открыть папку Schematic и выбрать вкладку Grids. Откроется  окно, в котором в поле «Grid Options» в окне Видимая сетка установить Dot Grid (точечная сетка) или Line Grid (линейная сетка) , цвет сетки задать чёрным. Нажать Применить и Ок.

2.1. СОЗДАНИЕ УСЛОВНОГО ГРАФИЧЕСКОГО ОБОЗНАЧЕНИЯ РЕЗИСТОРА.

Шаг сетки установить 1мм (нажатием клавиши G).

Выполним команду «Размещение/Линия» и сформируем корпус резистора в виде прямоугольника размером 10×4 мм.
Далее добавим выводы резистора командой 
«Размещение/Вывод». Ставим выводы так чтобы белые точечки на конце вывода были направлены от корпуса. Белые точки показвают место соединения проводников.  (Рис.11)


Рис.11.

Чтобы повернуть вывод при его вставке нажимаем на пробел.
Отредактировать вывод можно дважды щелкнув по нему. После этого появляется окно «Pin properties» (Рис.12) 


Рис.12

Длину выводов установить 5 мм. Так как выводы резистора не нумеруются и не обозначаются, в окнах имя вывода и обозначение убрать флажки. 

Записать созданный рисунок резистора в библиотеку. Для этого в нижней части экрана нажать SCH. В появившемся окне щелкнуть по кнопке SCH Library, в следующем появившемся окне в списке компонентов дважды щелкнуть по Component_1 (Рис.13).


Рис.13.

Откроется окно  «Library Component Properties» , в котором можно переименовать название элемента на «Резистор» . В окошечке «Default Designator» напишем обозначение резистора R? ,где вместо знака вопроса, при составлении схемы, программа автоматически поставит номер резистора. В окошечке «Default Сomment»  напишем номинал, а галочки visible делают видимыми на схеме указанную информацию.  Нажимаем кнопку Ок. (Рис.14.)


Рис.14.

Для того, чтобы создать новый компонент, выполним команду «Инструменты / Новый компонент». Появится маленькое окно, в котором нужно ввести его название и нажать ОК. Новый компонент появится в библиотеке SCH Library.

3. РАЗРАБОТКА ПОСАДОЧНЫХ МЕСТ ДЛЯ ПЕЧАТНОЙ ПЛАТЫ.

Прежде всего проделаем основные настройки редактора посадочных мест.
Открыть файл проекта «Печатная плата .PrjPCB». В дереве проекта открываем документ «Библиотека посадочных мест».
Щелкнуть правой кнопкой мыши в рабочем поле и выполнить команды Опции / Опции библиотеки (рис.15).


Рис.15.

Откроется окно Свойства платы (Параметры платы), в котором необходимо установить: единицы измерения Metric, шаг сетки 1mm.
Убираем галочку «Авторазмер» и задаем ширину и высоту 1500 мм, а позицию листа 0;0. (рис 16).


Рис.16.

Создадим посадочное место для резистора.

Выполним команду Инструменты / Новый бланк компонента. После чего создается лист серого цвета с клетками, а по центру располагается небольшой круг — начало координат.

Выполнить команды Размещение / Контактная площадка. Установить эту контактную площадку в начало координат.
Далее щелкнуть по ней дважды левой кнопкой мыши. После этого откроется окно настройки контактных площадок. В поле Размеры и форма выбрать «Общая» задать необходимую длину и ширину, выбрать форму контактной площадки (например Round).
В поле Информация об отверстии задать диаметр отверстия 0,9 мм (учитывайте толщину выводов вашего компонента).
В поле Свойства задать : Обозначение 1, слой Multi -Layer, цепь -No Net, тип-Load, галочку металл.
Остальные поля заполняются индивидуально. Нажимаем Ок. (рис 17).  

 
Рис.17.

Теперь можно скопировать созданную контактную площадку и разместить ее в нужном расстоянии. Шаг сетки выбирается нажатием клавиши G. Масштаб листа осуществляется прокруткой колеса мыши при нажатой клавише Ctrl. Расстояние между конт. площадками устанавливается индивидуально для каждого компонента. На рисунке 18 оно составляет 15 мм.
Обозначение конт. площадок 1 и 2.


Рис.18.  

Теперь нарисуем контур резистора. Для этого выбрать слой Тоp Overlay (рис.19), выполнить команды Размещение / Линия и нарисовать контур резистора равный габаритным размерам (рис.20)

Рис.19.


Рис.20.

Сохранить посадочное место в библиотеку. Нажимаем в правой нижней части экрана на кнопку PCB выбираем PCB Library и в появившемся окне дважды щелкаем по компоненту PCBComponent_1, набираем имя «ПМ для резистора» и сохраняем нажав ОК. (рис.21) 


Рис.21.

Посадочные места также можно создать и другим способом. Для этого нажимаем Инструменты / Помощник создания компонентов. В открывшемся окне нажать Далее. Из появившегося списка выбираем то, что хотим создать, например конденсатор (capacitor) и единицы измерения (рис.22)


Рис.22.

Нажимаем Далее. Теперь программа просит указать способ монтажа. Through Hole — это монтаж в отверстие, а Surface Mount — это поверхностный монтаж. Снова нажимаем Далее и указываем диаметр контактной площадки и диаметр отверстия. Далее указываем расстояние между отверстиями. Затем программа спрашивает полярный или неполярный данный конденсатор. Выбираем стиль монтажа. В итоге получается вот что (рис.23).

  
Рис.23.

Аналогичным образом создаем посадочные места для других компонентов.
Открыть библиотеку можно командой PCB / PCB Library.

Обязательно сохраняем все изменения проекта командой File (Файл) / Save All !

Посадочные места в программе Altium Designer именуются как «footprint» (футпринт).
Теперь пришло время прикрепить созданный футпринт резистора к его условно графическому изображению.
Для этого в дереве проекта открываем «Библиотека элементов.SchLib» . Затем справа в нижней части экрана нажать на кнопку SCH, щелкнуть по нему и в контекстном меню выбрать SCH Library. Откроется менеджер разработанной библиотеки элементов, в котором нужно выделить нужный элемент (в нашем случае резистор) и нажать кнопку «добавить» (Рис.24).


Рис.24.

После этого в появившемся маленьком окошечке выбрать тип модели «Footprint» и нажать ОК.
Откроется окно «Модель компонента на плате», в котором нажимаем «Обзор» и выбираем «ПМ для резистора» . Нажать ОК. Рис.25.


Рис.25.

Сохраняем все изменения проекта командой File (Файл) / Save All.

Аналогичным образом создаются другие компоненты. После этого переходим к созданию принципиальной схемы.

4. СОЗДАНИЕ СХЕМЫ ЭЛЕКТРИЧЕСКОЙ ПРИНЦИПИАЛЬНОЙ

Открыть файл Печатная плата.PrjPCB. Появится менеджер проектов. Щёлкнуть дважды по «Схема электрическая принципиальная». На рабочем поле появится форматка. Настроим редактор. Для этого в рабочем поле щелкнуть правой кнопкой мыши  и выполнить команды Опции / Опции документа.
Появится окно «Опции документа», в котором можно выбрать формат листа, а в закладке «Ед.изм.» установить метрическую систему Millimeters.(рис.26).


Рис.26.

Расширенные настройки открываются, если в рабочем поле щелкнуть правой кнопкой мыши и выполнить команды Опции / Настройки редактора схем. Настройки данного редактора находятся в разделе Schematic.
Нажатием клавиши G установить шаг сетки 5 мм. Выполнить команды Файл / Сохранить все.

Чтобы создать схему из библиотечных элементов, надо открыть созданные библиотеки. Для этого в нижней части экрана щелкнуть по кнопке System. В
выпадающем меню выбрать Библиотеки. Справа откроется менеджер Библиотеки, в котором выбрать Библиотеку элементов.SchLib. (рис.27).


Рис.27.

Примечание: на рис.27 библиотека пополнена мною новыми компонентами.

Теперь из этого списка выбираем нужный компонент и дважды щелкаем по нему, после чего компонент следует за курсором мыши. Разместим его в нужное место листа нажатием левой кнопки мыши (рис.28).


Рис.28

После размещения всех необходимых компонентов на рабочем листе схемы переходим к их соединению друг с другом.
Рисуем проводники командой «Размещение / Соединение» или нажав на кнопку (отмечено стрелкой) (рис.29).


Рис.29.

Я нарисовал такую схему (она НЕ рабочая, чисто для примера) (рис.30).


Рис.30.

Сохраняем все. Затем компилируем схему командами Проект (С) / CompilePCBProject Печатная
плата.PrjPCB. Далее выполнить команды System / Messages. Появится окно Messages, в котором будут показаны все предупреждения и ошибки.

5. СОЗДАНИЕ ПЕЧАТНОЙ ПЛАТЫ

Выполнить основные настройки. Для этого открыть файл Плата печатная.PCBdoc. В рабочем поле графического редактора щёлкнуть правой кнопкой мыши. Откроется выпадающее меню, в котором выполнить команды Опции / Свойства платы (или Параметры платы).

Откроется окно, в котором в поле Единицы измерения выбрать метрическую систему измерения Metric, шаг сетки 0,625mm, установить все галочки как на рисунке 31.


Рис.31.

Нажимаем ОК.
Для изменения структуры печатной платы (по необходимости) выполнить команды Опции / Управление стеком слоёв (структурой печатной платы).
В появившемся окне можно управлять слоями, указывать материалы и их толщину, но эти настройки нужны лишь в случае отправки платы на производство.

Теперь мы можем сделать импорт разработанной электрической схемы в редактор. Для этого нужно выполнить команды Проект / ImportChangesFrom Печатная плата.PrjPcb.
После этого открывается окно Перечень изменений. В нем нажать кнопку Проверить, а потом Выполнить. Если нет ошибок, то в разделе Статус появляются зелёные галочки (рис. 32).


Рис.32.

Нажать кнопку Закрыть.
Рисунок схемы появится справа снизу от печатной платы (в розовом поле). (рис. 33)


Рис.33.

Удаляем розовое поле, а потом выделив все компоненты перемещаем их в черную область. (рис. 34)


Рис.34.

Компоненты располагаются в произвольном порядке, но программа «помнит» все цепи (белые тонкие соединения), нарисованные ранее в принципиальной схеме.
Теперь перемещаем компоненты удерживая левую клавишу мыши. По необходимости вращаем их с помощью клавиши пробел.
Компоненты нужно компоновать придерживаясь основных рекомендаций (желательно):
1 — самые «связанные» компоненты размещаем по центру (обычно микросхемы)
2 — компоненты, которые рассеивают много тепла, располагают на расстоянии друг от друга.
3 — печатные проводники не должны быть слишком длинными (для этого разумно располагаем компоненты на плате).

Для того, чтобы этот урок был понятен начинающим, плата будет однослойной, т.е. все печатные проводники на одной стороне (Bottom Layer).
Это обосновано тем, что большинство из вас будут изготавливать плату в домашних условиях (обычно с помощью ЛУТ).

1. Интерактивная трассировка.
Трассировку проводников можно производить вручную с помощью команды Размещение / Интерактивная трассировка. После этой команды курсор превращается в крестик, которым нажимаем по любой контактной площадке. Программа подсветит те контактные площадки, с которыми выделенный объект имеет связь. За курсором последует линия-трасса, которую подведем к подсвеченной контактной площадке.

2. Автоматическая трассировка. 
Для того, чтобы произвести автоматическую трассировку, выполним команду Автотрассировка / Все. (рис. 35).


Рис.35.

Появится окно Стратегии трассировки (рис.36).


Рис.36. 

Выберем стратегию Default Multi Layer Board, затем нажимаем «Направление на слое» и в появившемся одноименном окошечке делаем настройки как на рис.36. Обратите внимание, что для слоя Top Layer выбрано состояние «Not Used» (не используется).
Нажимаем ОК и Route All. Появившееся окно Messages закрыть.
Сначала я расставил компоненты и вот что получилось после автотрассировки (рис.37).


Рис.37.

Проводники по умолчанию слишком тонкие. Для того, чтобы изменить ширину проводника, выделим его и щелкнем правой кнопкой мыши и выберем «свойства». Откроется окно «Дорожка», в котором указать необходимую ширину и нажать ОК. 
В моем случае ширина равна 0,5 мм. (рис.38).


Рис.38.

Чтобы обрезать плату переходим на слой Mechanical 1 в нижней части экрана. Командой Размещение / Линия рисуем контур платы (прямоугольник) вокруг наших элементов (контур по умолчанию будет розового цвета).
Затем выделим мышкой все компоненты (контур тоже) и нажимаем комбинацию клавиш Shift+S.
Не снимая выделений выполним команды Проект / Форма платы / Задать по выделенным объектам. После чего плата обрежется, но все элементы будут серого цвета, поэтому снова нажимаем комбинацию клавиш Shift+S и щелкаем по кнопке Clear (снять маску) в нижнем правом углу экрана. (рис.39). 


Рис.39.

Сохраняем проект Файл/Сохранить все.

Созданную плату можно посмотреть в трехмерном виде с помощью команды  Инструменты / Инструменты прошлых версий / Просмотр трехмерного вида. (рис.40).


Рис.40.

К сожалению некоторые элементы (транзистор, микросхема, светодиод, соединитель) не отобразились, но все равно предлагаю ознакомиться с этой функцией программы.

6. ЭКСПОРТ В PDF И ВЫВОД НА ПЕЧАТЬ

Нажимаем правой кнопкой мыши по файлу проекта «Печатная плата.PrjPcb», далее жмем «Add New to Project» и выбираем «Output Job File». (рис.41).


Рис.41.

Появится документ Job1.OutJob как на рисунке 42.


Рис.42.

В папке «Documentation Outputs» щелкнуть мышкой по «Add New Doc…» и выбрать PCB Prints / Плата печатная. (см.рис.42).
Здесь появится документ «PCB Prints», переименуем его как «Вывод на печать».
Потом создадим PDF файл нажимая по «Add New Output…»  показано красной стрелкой на рис.43.


Рис.43.

Чтобы прикрепить созданный PDF к нашему документу «Вывод на печать» нужно нажать на кружочек, который показан красной стрелкой на рисунке 44.
Далее нажимаем «Change» , который показан черной стрелкой на рисунке 44. В появившемся окне нажимаем Advanced и в разделе Размер и ориентация листа выбрать Page Setup Dialog вместо Source document, иначе рисунок сохранится вдвое большем масштабе.


Рис.44.

Теперь настроим параметры печати нажав правой кнопкой мыши по строке «Вывод на печать» и выбираем Page Setup. В разделе масштаб обязательно выбираем режим «Scaled Print» и коэффициент 1.00,  настройки цвета Ч/Б и размер листа А4.

Снова нажимаем правой кнопкой мыши по строке «Вывод на печать» и выбираем Configure. На экране появится окно как на рисунке 45.


Рис.45.

На печать выведем слои Bottom Layer и Mechanical1. Лишние слои выделить и удалить правой кнопкой мыши.
Поставить галочку напротив Holes. А если поставить галочку напротив Mirror, то всё напечатается в зеркальном отображении. Жмем ОК.

Потом создаем PDF файл нажав «Generate content» под кнопкой «Change» на рисунке 44.

Вот что получается (рис.46).


Рис.46.

Этот рисунок мы переведем на заднюю сторону платы. Рисунок представлен увеличенным.

Как вы помните, у нас еще нарисована шелкография в слое Top Overlay. Сохраним ее в PDF выше описанным методом только в окне (рис.45.) оставим слои  Top Overlay и Mechanical. 
Созданный рисунок переведем на лицевую сторону платы (со стороны радиоэлементов). (рис.47).


Рис.47.

Как вы заметили рисунок зеркально отражен.
Рисунок представлен увеличенным.

PDF файлы хранятся в папке, где находится файл проекта в подпапке «Project Outputs for Печатная плата».

На этом знакомство с замечательной программой Altium Designer завершено. Мы проделали долгую работу и получили хороший результат.
Желаю удачи в дальнейшем совершенствовании ваших знаний и умений! Надеюсь, что этот обучающий курс будет вам полезен!

Вопросы по Altium Designer можно задавать в комментариях или на форуме в соответствующей теме: FAQ по программе Altium Designer

Все созданные файлы прикреплены к статье.

Теги:

Быстрый старт

Перед тем, как начать создавать первоклассную электронику, необходимо установить, настроить и освоить систему проектирования. В этом разделе представлена информация, которая позволит вам быстро осуществить старт в ПО Altium.

Изучите базовые возможности Altium Designer на примере проектирования модуля сигнализации, который включает в себя 21 компонент, в соответствии со стандартами ГОСТ.

  • Загрузить материалы

Изучите возможности моделирования цепей питания с помощью программного модуля PDN Analyzer на примере простого устройства, пройдя весь рабочий процесс от ввода граничных условий до получения отчетных документов.

  • Перейти к уроку

Библиотека базовых моделей имитатора Sim Lib GOST с условными графическими обозначениями, выполненными в соответствии с требованиями ЕСКД.

Библиотека содержит подробное описание каждого компонента, а также проекты с примерами применения.

Загрузить библиотеку базовых моделей

Руководство по установке библиотеки содержится в комплекте, в файле Readme.txt.

После установки Altium Designer полностью готов к работе.

  • Для доступа к серверу облачных лицензий, облачным библиотекам компонентов, а также для мгновенного перехода из системы в центр поддержки войдите в свою учетную запись AltiumLive.

    При отсутствии подключения к сети Интернет для старта работ воспользуйтесь шаблонами документов и библиотеками компонентов, входящих в установку Altium Designer.

  • Поиск и выбор подходящих для проекта компонентов осуществляется из обширной базы данных, доступной через панель Manufacturer Part Search. Панель позволяет производить комплексный поиск на основе категорий и параметрических фильтров, сосредоточиться именно на тех компонентах, которые вам необходимы, а также выбрать предпочтительного поставщика физических компонентов на основе их стоимости и доступности.

Для расширения функциональный возможностей Altium Designer используются дополнительные программные модули (Extensions), такие как PDN Analyzer, GOSTBOM, Ansys EDB Exporter и т.п. Управление дополнительными программными модулями производится на странице Extensions & Update, доступ к которой осуществляется через меню пользователя в верхнем правом углу главного окна приложения.

► Узнать подробнее: Расширение функциональных возможностей ПО Altium

      Как создать принципиальную схему? Как создать печатную плату? Как устроены интегрированные библиотеки из чего они состоят? Как самому создать интегрированную библиотеку?

      Как создать УГО (условное графическое обозначение)? Как создать ПТМ (посадочное технологическое место)? Как связать УГО и ПТМ? Что такое — Полигон, Сплошная область и Заливка, их свойства и как их создать. Как в плате сделать отверстие произвольной формы?

      Принцип построения многолистовых принципиальных схем. Поиск ошибок в печатной плате. «Горячая» замена элементов в готовом проекте печатной платы.

      Заказ печатной платы на производстве. Гербер файлы и таблица сверления. Вывод на печать принципиальной схемы и чертежей печатной платы, файлы PDF.

      Это только небольшой перечень того, что содержится в материалах учебника.

     Содержание данного учебника не является копией статей, размещённых на сайте. И текстовый и видео материал полностью переработаны, внесены значительные дополнения. Исправлены имеющиеся ошибки.

     Содержание учебника рассчитано на то, чтобы даже тот, кто впервые установил у себя на компьютере программу Altium Designer и начал изучение с нуля мог уже через месяц создать полноценный проект печатной платы.

     В учебнике 17 глав. Все главы содержат тестовый материал, файлы PDF, 16 глав содержат видео в формате *.mp4, общей продолжительностью более 11 часов. К концу изучения учебника у вас будет достаточно знаний по Altium Designer чтобы создать даже такой многолистовой проект как на Рис.1 – Рис.5.

     Вы сможете создать гербер файлы и отправить заказ печатной платы на производство. Распечатать всю необходимую для производства документацию, принципиальные схемы, сборочные чертежи печатной платы. Воспользовавшись встроенной в Altium Designer программой Draftsman можно даже создать чертежи корпуса проектируемого устройства.

 uchebnik-altium-designer
Рис. 1
Принципиальная схема КТ2 лист 1.

     Правда кроме знания Altium Designer здесь нужны ещё и опыт чтения принципиальных схем, знание номенклатуры электронных компонентов. Но это к изучению Altium Designer не относится. Это идёт параллельным курсом. Особенно хорошо помогает опыт ремонта различной электронной аппаратуры.

     Мой собственный опыт в ремонте, обслуживании и разработке различной электронной аппаратуры составляет более 30 лет. О себе.

 uchebnik-altium-designer
Рис. 2
Принципиальная схема КТ2 лист 2.

 uchebnik-altium-designer
Рис. 3
Принципиальная схема КТ2 лист 3.

 uchebnik-altium-designer
Рис. 4
Принципиальная схема КТ2 лист 4.

 uchebnik-altium-designer
Рис. 5
Печатная плата КТ2.

      Нужен ли вам этот учебник? Тут решайте сами. Готовы ли вы потратить год, а то и больше выискивая крупицы знаний в Интернете? При этом не факт, что найдёте всё, что есть в учебнике. Или получить систематизированный материал, включающий в себя всё что нужно и потратить не более одного месяца, с учётом ваших экспериментов.

     В итоге получить всю информацию необходимую для создания проекта печатной платы начиная от задания на проектирование и до выдачи необходимой документации на производство.

Содержание Часть 1

     ***

Часть 2

Моделирование работы принципиальной схемы

      Рассказано и показано на практических примерах как провести моделирование принципиальной схемы в программах Altium Designer и LTspice.

      Так как симуляция работы принципиальной схемы в Altium Designer несколько сыровата основное внимание уделено работе с программой LTspice. Благо она распространяется совершенно свободно, а её возможности очень даже впечатляют.

      Моделирование позволяет исследовать и настроить проектируемое устройство, не собирая опытного образца. Увидеть осциллограммы в разных точках схемы на экране виртуального осциллографа. Снять амплитудно-частотную характеристику, определить коэффициент гармоник. Подобрать номиналы резисторов, конденсаторов и т.д.

      Выявить ошибки в построении схемы, не прибегая к материальным и финансовым затратам связанными с созданием опытного образца.

      В интернете много теоретического материала по моделированию, но совершенно недостаточно практического. Вот я и решил восполнить этот пробел.

      Процессы подготовки к моделированию и само моделирование принципиальной схемы показаны в AD23 и LTspiceXVII. Раздел содержит 15 глав в формате PDF и 7час. видео.

Содержание Часть 2

     ***

       Из личного опыта.

      Пробовал работать с Altium Designer 20.1.7, Altium Designer 20.1.8, Altium Designer 21.7.1 Altium Designer 23.1.1.15. Версии лицензионные.

      Что именно не понравилось 20-й версии сейчас сказать не могу. Но что-то в ней мне не понравилось. Остановился на 19-й.

      В 21.7.1 могу сказать точно. Не создаёт и не открывает ГЕРБЕР файлы.

       В Altium Designer 22.7.1 не удалось установить русский язык.

       В Altium Designer 23.1.1.15 проблем не обнаружил.

      Для создания библиотек и написания учебника мной использовался Altium Designer 19.1.8. Поэтому всё будет справедливо и для последующих версий.

      Учитывая совместимость программ снизу-вверх, всё то, что создано в 19-й версии должно работать в 20-й, 21-й и других.

Архив программы AD 19.1.8 можно скачать по адресу:

      Скачивание архива может занять минут 15-20.

     ***

      Иногда у меня спрашивают: «Чем отличается 19-я версия от версий 16, 17, 18?».

      Сам я начинал работать с 16-й версией. 17-я как-то прошла мимо меня. Пробовал поработать с 18-й. В ней обнаружился глюк, возникли проблемы с зеркальным отражением УГО разъёмов. В 16-й такой проблемы не было.

      Когда появилась 19-я попробовал поработать с ней и возвращаться на 16-ю уже не было никакого желания. Больше возможностей по поиску ошибок. Проще создаются интегрированные библиотеки. Функционал намного шире, а работать проще. Ощущение – как будто легче дышать.

      И как изюминка на торте – встроенная программа «Draftsman». Эта программа позволяет без каких-либо затруднений, в автоматическом режиме, создать профессиональные чертежи печатной платы:

      — Сборочный чертёж, содержащий виды сверху, слева, справа… и т.д.. Если в библиотеках имеются 3D-модели компонентов, то на сборочном чертеже будут отражены габариты компонентов;

      — Чертёж, содержащий таблицу сверлений;

      — Чертёж, содержащий рисунок трассировки.

      В этой программе можно создать и чертежи корпуса изделия.

      В программу уже заложены форматки по ГОСТ от 0 до 4.

      Имеется возможность создания анимированного ролика видео презентации.

     ***

      Архив ZIP 1-й и 2-й части учебника можно скачать по адресам:

или

      Там два архива:

      Учебник по Альтиум — 3 файла на Яндексе, 1 файл на PCloud

      Моделирование — 1 файл там и там.

Стоимость ключа к архиву:

          1-я часть «Учебник Altium Designer» (2,16ГБ) — 480руб.

          2-я часть «Моделирование схем» (0,87ГБ) — 290руб.

     Оплату нужно внести на один из счетов указанных ниже и прислать сообщение на почтовый ящик «Library-AD@bk.ru» или «LibraryAD2@gmail.com »
     На вашу почту в течении суток придёт ключ архива.

     Отправьте сообщение сразу на оба ящика, в строке «Кому» вставьте сразу два адреса. На сервисе Mail.ru иногда возникают сложности с прохождением писем от других сервисов.

Если я не получу письмо я не буду знать куда отправлять ключ.

Копию чека можно не присылать. При оплате укажите в сообщении получателю ваш адрес электронной почты. Письмо всё же желательно прислать, пускай и пустое. Очень уж неудобно набирать адрес почты глядя на экран мобильного телефона.

ВНИМАНИЕ!!!

      Если в течении суток Вы не получили ключ, то это означает, что я не получил адрес Вашей почты и терзаюсь, не зная, как с Вами связаться.

      Отправьте повторно письмо сразу на оба выше указанных адреса.

Сбербанк — 5469 4009 0058 4256
Срок 07/24

      Или

ЮMoney (ЯндексДеньги) – 41001875322538

Для перевода из-за рубежа.

     Информация здесь:

Мои реквизиты для перевода:

      Владелец карты:

      VALERIY BURYKIN

      Карта:

      Сбербанк — 5469 4009 0058 4256 (Срок 07/24)

      Россия, Санкт-Петербург

     Если есть вопросы задавайте в комментариях или через E-mail указанный выше

Понравилась статья? Поделить с друзьями:
  • Справочное руководство гидрогеолог
  • Руководства для управляющей компании
  • Инструкции по охране труда когда меняются
  • Производственная инструкция по эксплуатации котлов на газообразном топливе
  • Ацикловир акрихин таблетки инструкция цена по применению ацикловир отзывы